<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          關(guān) 閉

          新聞中心

          EEPW首頁 > 工控自動化 > 設(shè)計應(yīng)用 > 基于FPGA的高速數(shù)據(jù)采集系統(tǒng)接口設(shè)計

          基于FPGA的高速數(shù)據(jù)采集系統(tǒng)接口設(shè)計

          ——
          作者:西安交通大學(xué) 時間:2006-07-17 來源:單片機與嵌入式系統(tǒng)應(yīng)用 收藏

          引言

          當(dāng)前,越來越多的通信系統(tǒng)工作在很寬的頻帶上,對于保密和抗干擾有很高要求的某些無線通信更是如此,隨著信號處理器件的處理速度越來越快,數(shù)據(jù)采樣的速率也變得越來越高,在某些電子信息領(lǐng)域,要求處理的頻帶要盡可能的寬、動態(tài)范圍要盡可能的大,以便得到更寬的頻率搜索范圍,獲取更多的信息量。因此,通信系統(tǒng)對信號處理前端的A/D采樣電路提出了更高的要求,即希望A/D轉(zhuǎn)換速度快而采樣精度高,以便滿足系統(tǒng)處理的要求。

          可編程門陣列FPGA的出現(xiàn)已經(jīng)顯著改變了數(shù)字系統(tǒng)的設(shè)計方式。應(yīng)用可編程門陣列FPGA,可使數(shù)字系統(tǒng)設(shè)計具有高度的靈活性,因此FPGA的應(yīng)用越來越廣泛,而新一代FPGA--Virtex Ⅱ-PRO的出現(xiàn)使FPGA的功能更加強大,但隨之而來的是要求提高數(shù)據(jù)的傳輸速率,過去人們總是關(guān)心如何提高處理器運行速度,而現(xiàn)在關(guān)心的是怎樣才能更快地將數(shù)據(jù)從一個芯片傳輸?shù)搅硪粋€芯片??梢姡咚贁?shù)據(jù)采集系統(tǒng)的輸入輸出接口設(shè)計就顯得尤為重要。

          1 高速采集系統(tǒng)介紹

          數(shù)據(jù)采集系統(tǒng)原理框圖如圖1所示,輸入的中頻信號經(jīng)A/D采樣電路采樣后,轉(zhuǎn)換成LVDS信號送入FPGA中,或通過FPGA的端口RocketIO從高速接口輸出,或通過FPGA的端口LVDS循環(huán)存儲于高速緩存中,再由低速接口輸出。其中,F(xiàn)PGA主要完成對外接口管理、高速緩存的控制和管理。時鐘控制電路對A/D數(shù)據(jù)轉(zhuǎn)換器和可編程門陣列FPGA起同步和均衡作用。

          2 輸入輸出接口研究

          Virtex Ⅱ-PRO系列是在Virtex Ⅱ系列FPGA的基礎(chǔ)上,嵌入了高速I/O接口和IBM PowerPC處理器,它能實現(xiàn)超高帶寬的系統(tǒng)芯片設(shè)計,支持LVDS,LVPECL等多種差分接口,適應(yīng)性很強。其中高速串行(MGT)技術(shù)采用了RocketIO技術(shù),在可編程邏輯器件中內(nèi)嵌了速率為3.125Gb/s的多端串行通信接口,該技術(shù)包括千Mb以太網(wǎng)、10千以太網(wǎng)、3GIO、SerialATA、Infiniband和Fibre Channel,為高性能接口提供了完成的解決方案。LVDS(Low Voltage Differential Signaling)信號標(biāo)準是一種小振幅差分信號技術(shù),如圖2所示,它使用非常低的幅度信號(100-450mV),通過一對平行的PCB走線或平衡電纜傳輸數(shù)據(jù)。在兩條平行的差分信號線上流經(jīng)的電流方向相反,噪聲信號同時耦合到兩條線上,而接收端只關(guān)心兩信號的差值,于是噪聲被抵消。由于兩條信號線周圍的電磁場也互相抵消,故差分信號傳輸比單線信號傳輸電磁輻射小很多,從而提高了傳輸效率并降低了功耗。

          在高速數(shù)據(jù)采集系統(tǒng)中,使用了最新的A/D芯片MAX104A。該芯片是Maxim公司的最新產(chǎn)品,采樣頻率可以達到1GHz,采樣精度為8位。芯片輸出是PECL(Positive Emitter-Coupled Logic)電平輸出。PECL信號的擺幅相對ECL要小,適合于高速數(shù)據(jù)的串行或并行連接。PECL的輸出電路結(jié)構(gòu)如圖3所示。該電路包含一個差分對管和一對射隨器。輸出射隨器工作在正電壓范圍內(nèi),無信號時電流始終存在,這樣有利于提高開關(guān)速度,標(biāo)準的輸出負載接50Ω電阻至VCCO-2V的電平上,如圖3所示,在這種負載條件下,OUT+與OUT-的靜態(tài)電平典型值為UCCO-1.3V,OUT+與OUT-輸出電流為14mA。PECL結(jié)構(gòu)的輸出阻抗很低,典型值為4-5Ω,這表明它有很強的驅(qū)動能力。但當(dāng)負載與PECL的輸出端之間有一段傳輸線時,低阻抗造成的失配將導(dǎo)致信號時域波形的振鈴現(xiàn)象。

          3 RocketIO設(shè)計

          Xilinx公司的Virtex Ⅱ-PRO FPGA采用具有時鐘恢復(fù)功能的全雙工串行I/O收發(fā)器,可高效地實施每通道帶寬達到3.125Gb/s的不同協(xié)議設(shè)計。收發(fā)器支持高達到每通道3.125Gb/s的數(shù)據(jù)速率,并可利用通道捆綁功能滿足各種應(yīng)用不斷增長的數(shù)據(jù)傳輸速率的要求,Virtex Ⅱ-PRO的收發(fā)模塊由物理編碼子層(PCS)和物理介質(zhì)接入(PMA)構(gòu)成,其中物理編碼子層提供與FPGA邏輯內(nèi)的數(shù)字接口,內(nèi)部包括:循環(huán)冗余碼校驗CRC、8B/10B編解碼器、先進先出緩沖器FIFO;物理介質(zhì)接入提供與外部媒體的模擬接口,其中包括:20倍時鐘倍頻器、發(fā)送端時鐘生成器、發(fā)送緩沖器、串化器、接收端的時鐘恢復(fù)電路,接收緩沖器、解串器、可變速率的全雙工收發(fā)器、可編程的五級差分輸出幅度(擺率)控制和可編程的四級輸出預(yù)加重模塊。RocketIO的原始設(shè)計是比較復(fù)雜的,但幸運的是,Xilinx公司提供了大量成熟的和高效的IP(Intellectual Property)核來使用。如果能夠很好地掌握該工具的使用,將會極大地縮短設(shè)計的進度,減少開發(fā)和調(diào)試時間,由于IP核是根據(jù)Xilinx FPGA器件的特點和結(jié)構(gòu)設(shè)計的,直接用Xilinx FPGA底層硬件原語進行描述,可充分地將FPGA的性能開發(fā)出來,其實現(xiàn)結(jié)果在面積和速度上都能達到令人滿意的效果。在設(shè)計中,還要考慮到以下方面。

          (1)參考時鐘

          高性能的通信質(zhì)量要求有高穩(wěn)定性和高精度的時鐘源。抖動和頻偏是衡量時鐘源的兩個重要指標(biāo)。頻偏是指時鐘標(biāo)稱頻率與實際頻率的偏差,主要是受晶體精度的影響,由于RocketIO模塊內(nèi)部將輸入?yún)⒖紩r鐘20倍頻,而RocketIO模塊可容忍的輸入?yún)⒖紩r鐘抖動公差為40ps,可見參考時鐘的抖動對其性能有直接影響,所以必須選擇性能優(yōu)良的參考時鐘。抖動一般是指一個實際情況下的周期信號每個周期的圖樣相對于該信號理想情況下一個周期圖樣的偏差。抖動產(chǎn)生的原因包括:時鐘晶體本身的機械振動、器件的熱噪聲和電源串入噪聲等。抖動可以分為確定性抖動和隨機抖動,確定性抖動包括信號在傳輸中煤質(zhì)損耗、碼間串?dāng)_(ISI)等周期性因素導(dǎo)致的抖動;隨機抖動是由半導(dǎo)體器件熱噪聲、電源波動等共模隨機噪聲源導(dǎo)致的。在Virtex Ⅱ-PRO系列FPGA中,RocketIO模塊集中分布在上、下4個通道中,當(dāng)RocketIO工作在2.0 Gbaud以上時,參考時鐘應(yīng)采用差分輸入方式(如LVDS、LVPECH),由上述4個通道的專用差分時鐘引腳輸入,至相同時鐘信號引入不必要的抖動,在2.0 Gbaud速率以下應(yīng)用時,不要用FPGA內(nèi)部的DCM來生成RocketIO的輸入時鐘,因為經(jīng)DCM倍頻的時鐘會引入較大的抖動,使RocketIO的接收鎖相環(huán)無法穩(wěn)定地鎖定發(fā)送時鐘,致使系統(tǒng)無法正常工作。

          (2)復(fù)位

          在Virtex Ⅱ-PRO系列FPGA中,RocketIO模塊的復(fù)位引腳分為發(fā)送(TX_RESET)和接收(RX_RESET)兩部分。由于DCM在輸出時鐘鎖定在設(shè)定值前,輸出時鐘處于不穩(wěn)定狀態(tài),不能用作內(nèi)部邏輯電路時鐘,所以要在DCM時鐘輸出鎖定有效,并經(jīng)過適當(dāng)延遲后才可將片內(nèi)邏輯復(fù)位。RocketIO模塊要求復(fù)位輸入至少保持2個USRCLK時鐘周期來完成內(nèi)部FIFO的初始化。

          (3)PCB設(shè)計

          在PCB的設(shè)計中,應(yīng)使差分線對內(nèi)的長度相互匹配,以減少信號扭曲。為使設(shè)計傳輸速率達到2.0 Gbaud,在差分線對內(nèi)部每個走線區(qū)間內(nèi)的實際布線公差應(yīng)控制在5 mil內(nèi)。差分線對內(nèi)兩條線之間的距離應(yīng)盡可能小,以使外部干擾為共模特征。差分線對間的距離應(yīng)盡可能保持一致,以降低差分阻抗分布的不連續(xù)性。采用電源層作為差分線的信號回路,因為電源平面有最小的傳輸阻抗,從而減少噪聲,由于每個過孔可帶來0.5-1.0dB的損耗,應(yīng)盡量減少過孔數(shù)目。過孔的通孔和焊盤應(yīng)有盡量小的物理尺寸,并且在通孔穿越的未連接不加焊盤,差分對內(nèi)的過孔不僅在數(shù)量上要匹配,而且在放置的位置上也要接近,以使阻抗分布盡量一致,避免導(dǎo)致阻抗不連續(xù)的90



          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();