<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 如何在FPGA中實(shí)現(xiàn)狀態(tài)機(jī)

          如何在FPGA中實(shí)現(xiàn)狀態(tài)機(jī)

          作者: 時(shí)間:2013-05-08 來(lái)源:網(wǎng)絡(luò) 收藏

          ●順序:少于5種。

          ●獨(dú)熱:5-50種

          ●格雷:多于50種。

          一般情況下您不必去考慮使用哪一種狀態(tài)編碼方法,而是讓綜合引擎工具確定合適的方案,只在選擇的方法出現(xiàn)問(wèn)題時(shí)進(jìn)行考慮。但是,如果您要全盤自行掌控,并定義狀態(tài)編碼方法,也沒(méi)必要手動(dòng)操作,只需使用狀態(tài)編碼為每一種狀態(tài)設(shè)定常數(shù)即可。相反地,可以使用代碼中的一個(gè)屬性來(lái)驅(qū)動(dòng)綜合工具,從而選擇特定的編碼方法。具體如下所示:

          TYPE state IS (idle, led_on, led_off) ;

          SIGNAL current_state : state := idle;

          ATTRIBUTE syn_encoding STRING;

          ATTRIBUTE syn_encoding OF current_state :

          SIGNAL IS “sequential”;

          其中“sequential”也可以是“gray”和“onehot”.您還可以通過(guò)結(jié)合使用“safe”屬性來(lái)確保在狀態(tài)機(jī)進(jìn)入非法狀態(tài)時(shí)能夠恢復(fù)到有效狀態(tài)。

          另外,您也可以使用syn_encoding屬性直接定義狀態(tài)編碼的值。例如,假設(shè)您想要使用下列狀態(tài)編碼法來(lái)對(duì)三態(tài)狀態(tài)機(jī)進(jìn)行編碼:Idle = “11,”led_on = “10,” led_off = “01(與較傳統(tǒng)的順序”00“、”01“和”10“不同):

          TYPE state IS (idle, led_on, led_off) ;

          SIGNAL current_state : state := idle;

          ATTRIBUTE syn_encoding STRING;

          ATTRIBUTE syn_encoding OF current_state :

          SIGNAL IS ”sequential“;

          工程師負(fù)責(zé)在綜合工具中使用正確的設(shè)置,以確保該工具不會(huì)忽略任何屬性。例如,賽靈思XST工具要求將FSM選項(xiàng)設(shè)置為USER,而Synopsys的Synplify則要求關(guān)閉FSM編譯器。

          前面給出的等式可確定狀態(tài)機(jī)方案所需的觸發(fā)器數(shù)量。由于不是所有的狀態(tài)機(jī)都是2的冪次方,因此某些狀態(tài)在設(shè)計(jì)中將不會(huì)用到。狀態(tài)機(jī)的工程師必須負(fù)責(zé)確保未使用的狀態(tài)在設(shè)計(jì)中得到妥善處理。可以采用幾種適用于多種設(shè)計(jì)的基本技巧來(lái)實(shí)現(xiàn)這一目標(biāo)。對(duì)于高度可靠的安全關(guān)鍵型設(shè)計(jì),則需要采用其它更高級(jí)的技巧。

          不過(guò)對(duì)于大多數(shù)應(yīng)用來(lái)說(shuō),只需要確保狀態(tài)機(jī)能夠妥善地處理未使用的狀態(tài)并在進(jìn)入非法狀態(tài)時(shí)能夠正確地恢復(fù)。要做到這一點(diǎn)有兩種主要的方法。第一種方法是使用綜合工具實(shí)現(xiàn)一個(gè)安全的狀態(tài)機(jī)。綜合工具通常會(huì)插入額外的邏輯,用于檢測(cè)非法狀態(tài)并將狀態(tài)機(jī)返回到有效狀態(tài)。第二種方法是加強(qiáng)對(duì)實(shí)現(xiàn)邏輯的控制,聲明所有2的冪次方狀態(tài)機(jī)的狀態(tài),并使用另一屬性來(lái)確保即便是在沒(méi)有入口條件下,2的冪次方狀態(tài)機(jī)的狀態(tài)也不會(huì)被優(yōu)化掉。這意味著除非出錯(cuò)(單粒子翻轉(zhuǎn)等),狀態(tài)機(jī)內(nèi)部的任何條件都不會(huì)進(jìn)入狀態(tài)。下面的代碼顯示了通過(guò)使用屬性以防止清除未使用的狀態(tài)。

          TYPE state IS (idle, led_on, led_off) ;

          SIGNAL current_state : state := idle;

          ATTRIBUTE syn_keep BOOLEAN;

          ATTRIBUTE syn_keep OF current_state :

          SIGNAL IS TRUE”;

          簡(jiǎn)而言之,安全高效的狀態(tài)機(jī)設(shè)計(jì)對(duì)于任何使用的工程師而言都是一項(xiàng)重要技能。選擇Moore狀態(tài)機(jī)、Mealy狀態(tài)機(jī)還是混合機(jī)取決于整個(gè)系統(tǒng)的需求。無(wú)論選擇哪種類型的狀態(tài)機(jī),充分掌握實(shí)現(xiàn)方案所需的工具和技巧,將確保您實(shí)現(xiàn)最佳解決方案。

          fpga相關(guān)文章:fpga是什么


          塵埃粒子計(jì)數(shù)器相關(guān)文章:塵埃粒子計(jì)數(shù)器原理

          上一頁(yè) 1 2 下一頁(yè)

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();