<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 基于DSP的頻率特性測(cè)試儀設(shè)計(jì)

          基于DSP的頻率特性測(cè)試儀設(shè)計(jì)

          作者: 時(shí)間:2011-06-17 來(lái)源:網(wǎng)絡(luò) 收藏

          由圖7可知,使用單片的AD8032無(wú)法進(jìn)行-180°~180°范圍內(nèi)的監(jiān)相。為實(shí)現(xiàn)-180°~180°監(jiān)相,儀器采用I、Q正交檢測(cè)方法。即DDS信號(hào)源輸出兩路同頻正交信號(hào),該正交信號(hào)分別通過(guò)兩片8302與待測(cè)信號(hào)分別進(jìn)入兩片AD8302監(jiān)相,則可得到兩條相位差為90°相位曲線,如圖8所示。由此實(shí)現(xiàn)-180°~180°范圍檢測(cè)。

          本文引用地址:http://www.ex-cimer.com/article/150592.htm

          h.JPG


          2.6 幅度檢測(cè)單元
          本儀器幅度檢測(cè)電路由對(duì)數(shù)放大器AD8310和濾波電容單元組成。AD8310可檢測(cè)0~440 MHz,-91~+4 dBV范圍信號(hào)的幅度值,其輸出公式為
          i.JPG
          其中,VOUT為檢波輸出;VY為斜率電壓;VIN為輸入信號(hào)電壓;VX為截止電壓。
          AD8310的OFLT和BFIN引腳的電容需要根據(jù)掃頻來(lái)實(shí)時(shí)調(diào)節(jié)。經(jīng)過(guò)反復(fù)試驗(yàn),采用0.01μF,0.1μF,1μF,10μF和100μF的組合可實(shí)現(xiàn)20 Hz~150 MHz范圍內(nèi)的準(zhǔn)確檢測(cè)。

          3 實(shí)測(cè)結(jié)果
          本測(cè)試對(duì)LPF-BOR8低通濾波器進(jìn)行測(cè)量。該濾波器的截止為1.2 MHz。在儀器上電后,首先將輸出電平設(shè)定為0 dBm,起始設(shè)定為20 Hz,終止頻率設(shè)定為1.5 MHz。然后將儀器的輸出和輸入端短接,進(jìn)行幅度和相位校正。校正后,將儀器的輸出端接濾波器的輸入端,將濾波器的輸出端接儀器的輸入端進(jìn)行測(cè)量。測(cè)量結(jié)果如圖9所示。從圖中可以看出,該濾波器通帶較為平穩(wěn),相位趨于線性,-1 dB轉(zhuǎn)折點(diǎn)約為1.2 MHz,與該器件數(shù)據(jù)手冊(cè)給出數(shù)據(jù)相符。

          j.JPG



          4 結(jié)束語(yǔ)
          儀器實(shí)現(xiàn)了對(duì)20 Hz~150 MHz范圍內(nèi)任意頻段的被測(cè)網(wǎng)絡(luò)幅頻和相頻測(cè)量、數(shù)據(jù)存儲(chǔ)、回放、峰值查找以及-3 dB測(cè)量,Q值查找等計(jì)算。由于大量采用大規(guī)模集成電路,不僅提高了系統(tǒng)的集成度,減小了體積,而目提升了儀器的性能和穩(wěn)定性。實(shí)現(xiàn)了數(shù)字化、智能化、低成本。目前儀器已進(jìn)人生產(chǎn)階段。


          上一頁(yè) 1 2 3 4 下一頁(yè)

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();