<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 手機(jī)與無(wú)線通信 > 設(shè)計(jì)應(yīng)用 > FFT處理器寄生參數(shù)提取和靜態(tài)時(shí)序分析

          FFT處理器寄生參數(shù)提取和靜態(tài)時(shí)序分析

          作者: 時(shí)間:2010-12-22 來(lái)源:網(wǎng)絡(luò) 收藏

          摘要:本文在簡(jiǎn)要介紹寄生參數(shù)提取工具Star-RCXT和靜態(tài)時(shí)序分析工具PrimeTime的基礎(chǔ)上,對(duì)已通過(guò)物理驗(yàn)證工具Calibre DRC和LVS的FFT處理器版圖用Star-RCXT工具進(jìn)行了基于CCI的寄生參數(shù)提取,得到內(nèi)部互連網(wǎng)絡(luò)的詳細(xì)寄生電容和電阻值。最后,用 PrimeTime工具進(jìn)行了精確的版圖時(shí)序分析。

          關(guān)鍵詞:FFT處理器;Star_RCXT;寄生參數(shù)抽取;Primetime;靜態(tài)時(shí)序分析

          引言

          對(duì)于應(yīng)用0.18mm及以下工藝的設(shè)計(jì)而言,二維提取進(jìn)行Sign-off靜態(tài)時(shí)序分析就顯得有些粗略,而且精度不夠。這是因?yàn)閷?duì)于0.18mm及以下工藝,毗鄰金屬連線所產(chǎn)生的耦合電容在設(shè)計(jì)中已經(jīng)成為不得不考慮的寄生參數(shù),于是先進(jìn)的寄生參數(shù)提取工具就可以檢測(cè)到相同層次之間產(chǎn)生耦合電容的影響。因此對(duì)0.18mm及以下工藝的設(shè)計(jì),通過(guò)物理驗(yàn)證,在進(jìn)行流片之前,需要用專門的寄生參數(shù)抽取工具將每一個(gè)節(jié)點(diǎn)的RC網(wǎng)絡(luò)信息寫成文件傳遞給設(shè)計(jì),即所謂的反標(biāo),再用靜態(tài)時(shí)序分析工具做精確的版圖時(shí)序分析。

          Star-RCXT工具介紹

          Star- RCXT以其高精度、友好的用戶界面以及和其它工具良好的結(jié)合成為目前業(yè)界比較流行的一款寄生參數(shù)提取工具,是專門針對(duì)0.18mm及以下工藝的寄生參數(shù)提取所設(shè)計(jì)的。它采用了二點(diǎn)五維的幾何提取技術(shù),達(dá)到了三維的提取精度,但比三維的抽取工具速度快很多,能夠快速準(zhǔn)確地對(duì)上百萬(wàn)門的設(shè)計(jì)進(jìn)行全局的寄生參數(shù)提取。

          用Star-RCXT進(jìn)行寄生參數(shù)提取的條件

          應(yīng)用Star-RCXT進(jìn)行精細(xì)寄生參數(shù)提取,還需要兩個(gè)與工藝參數(shù)有關(guān)的文件:mapping文件和ITF(Interconnect Technology Format)文件。ITF文件是由晶圓代工廠直接提供的,它包含的信息主要有:工藝采用的各個(gè)層次(包括電介質(zhì)、過(guò)孔和金屬導(dǎo)線等),各個(gè)層次在工藝流程中的厚度、寬度等物理尺寸,各個(gè)層次的電氣參數(shù)(如介電常數(shù)、方塊阻值等)。Star-RCXT不能直接使用ITF格式的文件,它提供grdgenxo 命令將晶圓代工廠提供的ITF格式文件轉(zhuǎn)換成Star-RCXT所需要的.nxtgrd格式文件,Mapping文件用于實(shí)現(xiàn)這種轉(zhuǎn)換過(guò)程,將目標(biāo).nxtgrd文件中各個(gè)層次名稱與設(shè)計(jì)數(shù)據(jù)庫(kù)中的層名稱相對(duì)應(yīng)起來(lái)。

          Star-RCXT支持的格式

          寄生參數(shù)提取工具Star-RCXT支持多種格式輸入,主要有Milkyway、Lef/Def、Hercules和Calibre格式。也支持多種格式輸出,如輸出格式為IEEE 1481標(biāo)準(zhǔn)的SPEF(Standard Parastic Exchange Format)格式;輸出與PrimeTime靜態(tài)時(shí)序分析工具最有效對(duì)接的SBPF(Synopsys Binary Parasitic Format)格式;輸出格式包含了每一個(gè)節(jié)點(diǎn)RC網(wǎng)絡(luò)信息的DSPF (Detailed Standard Parasitic Format)格式;還有STAR、NETNAME、MW、CONLY等格式。因?yàn)榧纳娙葜蹬c其毗鄰的金屬連線有密切關(guān)系,版圖上一個(gè)圖形有可能會(huì)影響到其相鄰模塊中某一條連線的寄生參數(shù)提取,因此寄生參數(shù)提取是針對(duì)flat版圖,提取出來(lái)的寄生參數(shù)信息是不帶有層次的。也正是由于這個(gè)原因,寄生參數(shù)提取一般需要花費(fèi)較長(zhǎng)的時(shí)間,并占用較大的硬件資源。

          Primetime工具介紹

          PrimeTime 是Synopsys公司提供的一個(gè)針對(duì)復(fù)雜全芯片進(jìn)行靜態(tài)時(shí)序分析的工具。PrimeTime可以集成于邏輯綜合和物理綜合的流程,讓設(shè)計(jì)者分析并解決復(fù)雜的時(shí)序問(wèn)題,提高時(shí)序收斂的速度。它是一種窮盡分析方法,不依賴于激勵(lì),分析檢查或設(shè)計(jì)對(duì)象中的所有時(shí)序路徑,包括無(wú)效路徑,能夠保證100%的覆蓋率。它運(yùn)行速度快,占用內(nèi)存少,完全克服了動(dòng)態(tài)時(shí)序驗(yàn)證的缺陷,適用于超大規(guī)模芯片系統(tǒng)的驗(yàn)證。

          PrimeTime分析原理

          PrimeTime 進(jìn)行靜態(tài)時(shí)序分析時(shí)把整個(gè)芯片按照時(shí)鐘分成許多時(shí)序路徑。路徑的起點(diǎn)是時(shí)序單元的輸出引腳或是設(shè)計(jì)的輸入端口,路徑的終點(diǎn)是時(shí)序單元的輸入引腳或是設(shè)計(jì)的輸出端口。根據(jù)起點(diǎn)和終點(diǎn)的不同,可將邏輯分解為圖1中用虛線表示的四種路徑,分別代表了以下四種類型: 路徑1起始于輸入端口,終止于時(shí)序單元的數(shù)據(jù)輸入端;路徑2起始于時(shí)序單元的時(shí)鐘引腳,終止于時(shí)序單元的數(shù)據(jù)輸入端;路徑3起始于時(shí)序單元的時(shí)鐘引腳,終止于輸出端口;路徑4起始于輸入端口,終止于輸出端口。
          1.JPG
          圖1 邏輯電路中的四種時(shí)序路徑

          PrimeTime 工具把設(shè)計(jì)打散成一系列時(shí)序路徑之后,沿每條路徑計(jì)算延時(shí)。路徑的總延時(shí)是該路徑中所有單元延時(shí)和連線延時(shí)的和。單元延時(shí)為路徑中從邏輯門的輸入到輸出的延時(shí)量,若沒(méi)有提供反標(biāo)延時(shí)信息,PrimeTime會(huì)根據(jù)工藝庫(kù)中提供的此單元延遲表來(lái)計(jì)算單元延時(shí)。典型的單元延遲表是輸入傳輸時(shí)間和輸出負(fù)載電容的函數(shù),基于二維表格來(lái)計(jì)算每個(gè)單元的延時(shí)。對(duì)于在表格中找不到對(duì)應(yīng)點(diǎn)的情況,可通過(guò)內(nèi)插或外推表格中的值來(lái)獲得當(dāng)前條件下的延時(shí)值。連線延時(shí)是時(shí)序路徑中從一個(gè)單元的輸出到下一個(gè)單元輸入的延時(shí)總和。PrimeTime可讀取由專門提取工具得到的詳細(xì)內(nèi)部互聯(lián)網(wǎng)絡(luò)的寄生電容和電阻值,并基于此精確地計(jì)算連線延時(shí),以完成精確的版圖時(shí)序分析。

          PrimeTime分析要求

          靜態(tài)時(shí)序分析的目的是保證設(shè)計(jì)中的以上四種類型路徑可以滿足建立時(shí)間和保持時(shí)間的要求。即無(wú)論其起點(diǎn)是什么,信號(hào)都可以被及時(shí)地傳遞到該路徑的終點(diǎn),并且在電路正常工作所必需的時(shí)間段內(nèi)保持恒定。如果建立時(shí)間和保持時(shí)間不能得到滿足,觸發(fā)器就無(wú)法采樣到正確的數(shù)據(jù)。

          對(duì)FFT處理器進(jìn)行寄生參數(shù)提取和靜態(tài)時(shí)序分析

          當(dāng) FFT處理器完成版圖設(shè)計(jì),通過(guò)Calibre DRC和LVS進(jìn)行Sign-off的靜態(tài)時(shí)序分析時(shí),可用專門的寄生參數(shù)提取工具Star-RCXT將每一個(gè)節(jié)點(diǎn)的RC網(wǎng)絡(luò)信息寫成文件傳遞給設(shè)計(jì),再用靜態(tài)時(shí)序分析工具PrimeTime讀取由Star-RCXT工具得到的詳細(xì)內(nèi)部互聯(lián)網(wǎng)絡(luò)的寄生電容和電阻值,并基于此精確地計(jì)算連線延遲,做精確的版圖時(shí)序分析。

          對(duì)FFT處理器進(jìn)行寄生參數(shù)提取

          由于FFT項(xiàng)目采用Mentor公司的 Calibre工具進(jìn)行DRC和LVS,因此寄生參數(shù)提取工具Star-RCXT采用的輸入格式為Calibre,基于CCI(Calibre Connectiity Interface)的Star-RCXT寄生參數(shù)提取流程如圖2所示。
          2.JPG
          圖2 基于CCI的寄生參數(shù)提取流程

          在 Calibre中采用flat方式對(duì)FFT處理器進(jìn)行LVS,通過(guò)LVS后自動(dòng)產(chǎn)生SVDB目錄,并將配置LVS運(yùn)行時(shí)設(shè)置的內(nèi)容保存為lvsset文件。然后編寫query.cmd文件,該文件指定基于CCI的Star-RCXT寄生參數(shù)提取所需文件的位置,如標(biāo)注的GDSII版圖、層映射信息、頂層端口信息、理想版圖網(wǎng)表、網(wǎng)絡(luò)名信息、交互表等。編寫好query.cmd文件后,在calibredrv工具的命令行中執(zhí)行命令:Calibre- query svdb query.cmd,會(huì)生成Star-RCXT寄生參數(shù)提取所需的文件,如包含多邊形和連通性信息的文件xtalkdetailDRCLVS.agf、元件交互參考信息的文件xtalkdetailDRC LVS.ixf、網(wǎng)絡(luò)交互參考信息的文件xtalkdetailDRCLVS.nxf、理想版圖網(wǎng)表文件xtalkdetailDRCLVS.nl和器件表文件xtalkdetailDRCLVS. devtab等。

          本設(shè)計(jì)用運(yùn)行Star-RCXT命令文件(star.cmd)來(lái)進(jìn)行寄生參數(shù)提取,在編寫star.cmd命令文件時(shí)要輸入:模塊名稱xtalkdetailDRCLVS、層映射文件SmicVTMP_LO_SRAM _MR_MM_HV_LC_018.map、電源/地網(wǎng)絡(luò)名稱VDD和GND、加工工藝文件smic018.nxtgrd、提取參數(shù)的輸出格式SPEF、提取網(wǎng)絡(luò)的范圍、是否要將耦合電容折算成對(duì)地電容、配置LVS運(yùn)行的設(shè)置文件lvsset和指定進(jìn)行基于CCI寄生參數(shù)提取所需文件位置的文件 query.cmd等。然后在Star-RCXT中運(yùn)行StartXtract -clean star.cmd命令即可完成寄生參數(shù)提取,輸出文件名為xtalkdetailDRCLVS.spef。

          對(duì)FFT處理器進(jìn)行靜態(tài)時(shí)序分析

          首先在PrimeTime的啟動(dòng)文件(.synopsys_pt.setup)中設(shè)置與庫(kù)文件相關(guān)的信息,如用set_link_library { * smic18_ss.db smic18IO_line_ss.db}命令來(lái)設(shè)置鏈接庫(kù),用set target_library {* smic18_ss.db smic18_IO_line_ss.db}命令來(lái)設(shè)置目標(biāo)庫(kù)、用set_min_library命令來(lái)指定工藝庫(kù)用于最大延遲和最小延遲分析等。然后用 primetime命令啟動(dòng)PrimeTime的圖形用戶界面,對(duì)FFT處理器進(jìn)行Sign-off的靜態(tài)時(shí)序分析。具體流程包括:讀入設(shè)計(jì)數(shù)據(jù)、約束時(shí)序、設(shè)定環(huán)境和分析條件、檢查設(shè)計(jì)數(shù)據(jù)和分析設(shè)置參數(shù),以及執(zhí)行分析和檢查結(jié)果5步。

          讀入設(shè)計(jì)數(shù)據(jù)

          讀入網(wǎng)表文件用read_verilog命令,讀入由Star-RCXT提取輸出的SPEF格式寄生網(wǎng)表用read_parasitics命令。若要分析建立時(shí)間則要讀入最壞情況的寄生電容和電阻信息,若要分析保持時(shí)間則要讀入最好情況的寄生電容和電阻信息。

          約束時(shí)序

          進(jìn)行Sign-off靜態(tài)時(shí)序分析時(shí),由于現(xiàn)在的網(wǎng)表中已經(jīng)包括了實(shí)際的時(shí)鐘信息,用create_clock命令來(lái)定義一個(gè)時(shí)鐘的基本信息,如周期、波形等,將該時(shí)鐘信號(hào)在實(shí)際的時(shí)鐘樹(shù)中傳播,進(jìn)而得到實(shí)際的時(shí)鐘延時(shí)和偏移。用set_propagated_ clock命令可以根據(jù)時(shí)鐘樹(shù),自動(dòng)計(jì)算出時(shí)鐘信號(hào)到達(dá)終點(diǎn)的延時(shí)和偏移。Sign-off靜態(tài)時(shí)序分析可不設(shè)置時(shí)鐘的確定性,但有時(shí)為了提高設(shè)計(jì)可靠性,用set_clock_uncertainty命令對(duì)建立時(shí)間和保持時(shí)間都設(shè)置一個(gè)很小時(shí)間值。根據(jù)設(shè)計(jì)規(guī)范要求用set_input_delay命令直接設(shè)置輸入延時(shí)值,用set_output_delay命令直接設(shè)置輸出延時(shí)值等。

          設(shè)定環(huán)境和分析條件

          PrimeTime 中set_operating_conditions命令用來(lái)根據(jù)工藝庫(kù)指定工藝、溫度和電壓的工作條件。分析建立時(shí)間時(shí)工作條件應(yīng)當(dāng)在最壞情況進(jìn)行,即 set_operating_conditions worst;分析保持時(shí)間時(shí)工作條件應(yīng)當(dāng)在最好情況進(jìn)行,即set_operating_conditions best。用set_load命令設(shè)置輸出端口的負(fù)載,用set_driving_cell命令指定輸入端口的驅(qū)動(dòng)單元等。另外可用 set_case_analysis命令在某個(gè)端口設(shè)置為固定邏輯常數(shù)或邏輯轉(zhuǎn)換限制該信號(hào)在設(shè)計(jì)中傳播,如果在時(shí)序分析時(shí)不考慮掃描鏈的影響,可執(zhí)行 set_case_analysis 0 [get_ports scan_en_pad]命令。

          檢查設(shè)計(jì)數(shù)據(jù)和分析設(shè)置參數(shù)

          在進(jìn)行時(shí)序分析前要先檢查設(shè)計(jì)數(shù)據(jù),如層次、庫(kù)、端口、單元等,以及分析設(shè)置參數(shù),如時(shí)鐘、輸入延遲約束、輸出延遲約束等是否已經(jīng)設(shè)置或設(shè)置是否正確。如, 用check_timing命令來(lái)檢查是否存在未定義的時(shí)鐘、未定義的輸入到達(dá)時(shí)間和未定義的輸出約束等;用report_design命令輸出當(dāng)前設(shè)計(jì)的一系列屬性,如分析類型、所選的工作條件、線負(fù)載模型和設(shè)計(jì)規(guī)則等;用report_port命令顯示端口名、引腳電容、線電容和輸入輸出延遲等端口信息。

          執(zhí)行分析和檢查結(jié)果

          在設(shè)計(jì)數(shù)據(jù)和參數(shù)設(shè)置均正確的情況下進(jìn)行時(shí)序分析,然后查看分析結(jié)果,獲得設(shè)計(jì)具體的時(shí)間信息。如用report_timing命令產(chǎn)生一條最大時(shí)延路徑的詳細(xì)報(bào)告,包括路徑信息(工作條件、線負(fù)載模型的模式、路徑起點(diǎn)、路徑終止點(diǎn)和路徑類型等)、路徑延時(shí)、路徑延時(shí)要求和總結(jié)部分。用report_constraint-all_violator命令報(bào)告所有的建立時(shí)間時(shí)序違規(guī)。為了準(zhǔn)確了解FFT處理器所有路徑的建立時(shí)間情況,可執(zhí)行菜單命令Timing→Histogram→Endpoint Slack…彈出Endpoint Slack對(duì)話框,在Delay type中選擇max后按OK可得如圖3所示的所有路徑建立時(shí)間情況,從中可知建立時(shí)間最小值為0.13792,滿足建立時(shí)間要求。再運(yùn)行命令 report_analysis_coverage得PrimeTime分析的路徑條數(shù)為45957,分析的覆蓋率為100%,建立時(shí)間的違規(guī)率為0%。

          圖3 建立時(shí)間的分析結(jié)果
          3.jpg
          為了準(zhǔn)確了解FFT處理器所有路徑的保持時(shí)間情況,可進(jìn)行與分析建立時(shí)間過(guò)程相同的步驟。

          結(jié)語(yǔ)

          靜態(tài)時(shí)序分析已經(jīng)成為數(shù)字集成電路設(shè)計(jì)必不可少的環(huán)節(jié)。本文應(yīng)用寄生提取工具Star-RCXT基于CCI流程對(duì)FFT處理器進(jìn)行寄生參數(shù)提取,再用靜態(tài)時(shí)序分析工具PrimeTime讀取由Star-RCXT抽取工具得到的內(nèi)部互連網(wǎng)絡(luò)的詳細(xì)寄生電容和電阻值,進(jìn)行精確的版圖時(shí)序分析。它不需要輸入測(cè)試向量就能覆蓋所有的路徑,且運(yùn)行速度很快,占用內(nèi)存較少,可以對(duì)芯片設(shè)計(jì)進(jìn)行全面的時(shí)序驗(yàn)證。

          本文引用地址:http://www.ex-cimer.com/article/156867.htm

          DIY機(jī)械鍵盤相關(guān)社區(qū):機(jī)械鍵盤DIY


          cvt相關(guān)文章:cvt原理




          關(guān)鍵詞: 電路

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();