<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          關(guān) 閉

          新聞中心

          EEPW首頁 > 工控自動化 > 設(shè)計(jì)應(yīng)用 > 自動售貨機(jī)控制模塊 VHDL 程序設(shè)計(jì)及 FPGA 實(shí)現(xiàn)

          自動售貨機(jī)控制模塊 VHDL 程序設(shè)計(jì)及 FPGA 實(shí)現(xiàn)

          作者: 時間:2011-01-02 來源:網(wǎng)絡(luò) 收藏

          近年來,隨著集成電路技術(shù)的迅猛發(fā)展,特別是可編程邏輯器件的高速發(fā)展, EDA(Electronic Design Automation,電子設(shè)計(jì)自動化)技術(shù)成為電子設(shè)計(jì)工程師的新寵。EDA技術(shù)以計(jì)算機(jī)為工具完成數(shù)字系統(tǒng)的邏輯綜合、布局布線和設(shè)計(jì)仿真等工作。電路設(shè)計(jì)者只需要完成對系統(tǒng)功能的描述,就可以由計(jì)算機(jī)軟件進(jìn)行系統(tǒng)處理,最后得到設(shè)計(jì)結(jié)果,并且修改設(shè)計(jì)方案如同修改軟件一樣方便。利用EDA工具可以極大地提高設(shè)計(jì)效率。

          本文引用地址:http://www.ex-cimer.com/article/162525.htm


          利用硬件描述語言編程來表示邏輯器件及系統(tǒng)硬件的功能和行為,是EDA設(shè)計(jì)方法的一個重要特征。VHDL(Very High Speed Integrated Circuit Hardware Description Language,超高速集成電路硬件描述語言)是硬件描述語言的一種,對系統(tǒng)硬件的描述功能很強(qiáng)而語法又比較簡單。VHDL具有強(qiáng)大的行為描述能力,設(shè)計(jì)者可以不懂硬件的結(jié)構(gòu),只需集中精力進(jìn)行電子系統(tǒng)的設(shè)計(jì)和性能優(yōu)化;具有方便的邏輯仿真與調(diào)試功能,在設(shè)計(jì)早期就能查驗(yàn)系統(tǒng)的功能,方便地比較各種方案的可行性及其優(yōu)劣。目前,VHDL作為IEEE的工業(yè)標(biāo)準(zhǔn)硬件描述語言,得到眾多EDA公司的支持,在電子工程領(lǐng)域已經(jīng)成為事實(shí)上通用硬件描述語言。


          本文采用VHDL作為工具描述了自動售貨機(jī)控制模塊的邏輯控制電路,并在FPGA上實(shí)現(xiàn)。該自動售貨機(jī)能夠根據(jù)投入硬幣額度,按預(yù)定的要求在投入硬幣大于規(guī)定值時送出飲料并找零。

          設(shè)計(jì)方案
          本文所設(shè)計(jì)的簡易自動售貨機(jī)可銷售礦泉水,假設(shè)每瓶1.5元。設(shè)兩個投幣孔,分別接收1元和5角兩種硬幣,兩個輸出口,分別輸出購買的商品和找零。假設(shè)每次只能投入一枚1元或5角硬幣,投入1元5角硬幣后機(jī)器自動給出一瓶礦泉水;投入2元硬幣后,在給出一瓶礦泉水的同時找回一枚5角的硬幣。另外設(shè)置一復(fù)位按鈕,當(dāng)復(fù)位按鈕按下時,自動售貨機(jī)回到初始狀態(tài)。


          開發(fā)軟件選用功能強(qiáng)大的Altera公司的最新可編程邏輯器件開發(fā)工具Quartus II 8.0,實(shí)現(xiàn)芯片選用Altera公司FLEX10K系列的EPF10K10LC84-4;首先在計(jì)算機(jī)上完成程序設(shè)計(jì)、編譯及時序仿真,然后將經(jīng)過驗(yàn)證的設(shè)計(jì)文件下載到選擇的可編程邏輯器件中,并在電子設(shè)計(jì)自動化實(shí)驗(yàn)系統(tǒng)中進(jìn)行硬件模擬和測試。

          狀態(tài)機(jī)VHDL程序設(shè)計(jì)
          有限狀態(tài)機(jī)FSM(Finite State Machine)及其設(shè)計(jì)技術(shù)是實(shí)用數(shù)字系統(tǒng)設(shè)計(jì)中實(shí)現(xiàn)高效率、高可靠邏輯控制的重要途徑。傳統(tǒng)的狀態(tài)機(jī)設(shè)計(jì)方法需進(jìn)行繁瑣的狀態(tài)分配、繪制狀態(tài)表、簡化次態(tài)方程等,而利用VHDL可以避免這些煩瑣的過程,直接利用狀態(tài)轉(zhuǎn)換圖進(jìn)行狀態(tài)機(jī)的描述。此外,與VHDL的其他描述方式相比,狀態(tài)機(jī)的VHDL表述豐富多樣,程序?qū)哟畏置?,結(jié)構(gòu)清晰,易讀易懂;在排錯、修改和模塊移植方面也有其獨(dú)到的特點(diǎn)。


          狀態(tài)機(jī)有摩爾(Moore)型和米立(Mealy)型兩種。Moore型狀態(tài)機(jī)的輸出信號只與當(dāng)前狀態(tài)有關(guān);Mealy型狀態(tài)機(jī)的輸出信號不僅與當(dāng)前狀態(tài)有關(guān),還與輸入信號有關(guān)。結(jié)合本文設(shè)計(jì),由于輸出僅與狀態(tài)有關(guān),選用了Moore型狀態(tài)機(jī)設(shè)計(jì)自動售貨機(jī)控制模塊,狀態(tài)轉(zhuǎn)換圖如圖1所示。

          圖1 自動售貨機(jī)狀態(tài)轉(zhuǎn)換圖


          上一頁 1 2 3 下一頁

          關(guān)鍵詞: 收發(fā)器

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();