<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設計應用 > 常用電機微控制器之比較

          常用電機微控制器之比較

          作者: 時間:2012-02-27 來源:網(wǎng)絡 收藏

          2.1 8XC196MC的PWM信號的形成

          片內(nèi)波形發(fā)生器(WG)是8XC196MC的特色設計之一。這一片內(nèi)外設簡化了產(chǎn)生PWM波形的控制軟件和外部硬件,通過編程可產(chǎn)生獨立的、具有相同頻率和工作方式的3對PWM波形,并由P6口直接輸出6路PWM信號給逆變器,且三相互補不重疊。在使用 16MHz的晶振時,輸出信號頻率可達8MHz。在采用邊沿觸發(fā)方式時,分辨能力為125ns;中心觸發(fā)時,分辨能力為250ns。WG具有多種可編程頻率、占空比和消隱時間,每路波形輸出的驅(qū)動能力很強,輸出極性可編程,并能夠制為高或低電平。為防止同一橋臀上的2個功率開關(guān)器件發(fā)生直通現(xiàn)象,還可編程來設置互鎖時間。在使用16MHz的晶振時,互鎖最短時間為0.125μs,最長為125μs。此外,還具有保護功能,當發(fā)生意外事件時,響應外部事件而立即停止輸出。

          2.2 TMS320C240的PWM信號的形成

          TMS320C240的事件管理器(EV)可產(chǎn)生PWM信號,直接控制功率驅(qū)動器,如圖4所示。

          8.gif

          由圖4可見,3個全單元中任一個均可與EV模塊中的GP定時器死區(qū)單元和輸出邏輯一起用于產(chǎn)生一對有可編程死區(qū)和輸出極性的PWM信號。對應于EV模塊中的3個全單元共有6個這樣的PWM輸出。

          圖5 給出了當GP定時器工作在單個或連續(xù)加/減計數(shù)模式時產(chǎn)生的對稱PWM波形。當GP定時器工作在這兩種模式中的任一種時,波形發(fā)生器的輸出狀態(tài)變化如下:計數(shù)器從0開始計數(shù),到第1個匹配發(fā)生時刻前輸出狀態(tài)保持不變,第1個比較匹配時輸出狀態(tài)切換。隨后保持不變到第2個比較匹配發(fā)生時刻,在第2個比較匹配時輸出狀態(tài)切換,周期結(jié)束前輸出狀態(tài)保持不變。如果沒有第2個比較匹配發(fā)生而且下一個周期的新比較值不為0,則在周期結(jié)束時輸出復位為0;若比較值為 0,則在周期開始輸出為1,并在第2個比較匹配發(fā)生之前輸出狀態(tài)保持不變。如果周期的其余時間內(nèi)比較值不為0,在從0到1的第1個轉(zhuǎn)換時刻之后,輸出一直保持為1到周期結(jié)束。當發(fā)生以上這種情況時,如果下一個周期的比較值仍舊為0,輸出仍舊為1,實現(xiàn)了PWM脈沖的占空比連續(xù)無突變地在0~100%之間變化。

          9.gif

          dc相關(guān)文章:dc是什么


          pwm相關(guān)文章:pwm是什么


          51單片機相關(guān)文章:51單片機教程


          單片機相關(guān)文章:單片機教程


          單片機相關(guān)文章:單片機視頻教程


          單片機相關(guān)文章:單片機工作原理




          關(guān)鍵詞: 比較 控制器 電機 常用

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();