<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 基于單片機(jī)的便攜式脈沖信號(hào)參數(shù)測(cè)試儀

          基于單片機(jī)的便攜式脈沖信號(hào)參數(shù)測(cè)試儀

          作者: 時(shí)間:2010-03-24 來(lái)源:網(wǎng)絡(luò) 收藏

          摘要:本文介紹了C8051F340的設(shè)計(jì)與實(shí)現(xiàn)。該能對(duì)的幅值、頻率、周期、占空比等進(jìn)行測(cè)量。通過(guò)采用10次定數(shù)計(jì)時(shí)法,提高測(cè)量精度,縮短測(cè)量時(shí)間。實(shí)驗(yàn)測(cè)試表明,該的誤差率較低,精度高,能夠滿(mǎn)足閃光盒性能測(cè)試與校驗(yàn)的要求,可用于電測(cè)量、儀器檢測(cè)等領(lǐng)域。該測(cè)試儀已應(yīng)用于某型軍用飛機(jī)閃光盒信號(hào)檢測(cè)中,其穩(wěn)定性、精確性均能滿(mǎn)足要求。
          關(guān)鍵詞:信號(hào);測(cè)試儀;定數(shù)計(jì)時(shí)

          本文引用地址:http://www.ex-cimer.com/article/173418.htm

          0 引言
          目前在測(cè)量領(lǐng)域里,脈沖信號(hào)既可作為已知的激勵(lì)信號(hào),又可作為未知的被測(cè)信號(hào)。當(dāng)作為未知的被測(cè)信號(hào),對(duì)其參數(shù)(幅值、周期、頻率、占空比)測(cè)試越顯重要。以為核心的脈沖信號(hào)參數(shù)測(cè)試儀和控制裝置,小巧方便,便于攜帶,且易于擴(kuò)展和技術(shù)更新,鑒于此,作者設(shè)計(jì)開(kāi)發(fā)了單片機(jī)的信號(hào)參數(shù)測(cè)試儀。測(cè)試儀的主控器件采用Silicon Labs公司的一款C8051F340單片機(jī),它具有強(qiáng)大的數(shù)據(jù)采集、存儲(chǔ)、運(yùn)算和控制功能??赏ㄟ^(guò)按鍵操作測(cè)量信號(hào)的幅值、周期、頻率和占空比等參數(shù),并在YMl2864LCD液晶顯示器上實(shí)時(shí)顯示。本儀器具有操作簡(jiǎn)單、體積更小、便于攜帶和人機(jī)界面友好等特點(diǎn)。該儀器已用于某型軍用飛機(jī)閃光盒信號(hào)檢測(cè),反饋良好,其穩(wěn)定性、精確性均能滿(mǎn)足要求。

          1 硬件設(shè)計(jì)
          1.1 測(cè)試儀組成框圖
          測(cè)試儀由單片機(jī)模塊、顯示模塊、按鍵模塊、電源模塊和信號(hào)調(diào)理電路模塊組成。單片機(jī)內(nèi)部集成10位的A/D轉(zhuǎn)換模塊簡(jiǎn)化了硬件電路設(shè)計(jì)。脈沖信號(hào)通過(guò)信號(hào)調(diào)理模塊,經(jīng)單片機(jī)系統(tǒng)的A/D轉(zhuǎn)換模塊采集信號(hào),單片機(jī)對(duì)采集到的數(shù)據(jù)進(jìn)行處理,通過(guò)I/O口控制液晶模塊顯示測(cè)量結(jié)果。系統(tǒng)組成框圖如圖l所示。


          上一頁(yè) 1 2 3 下一頁(yè)

          評(píng)論


          相關(guān)推薦

          技術(shù)專(zhuān)區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();