<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 模擬技術(shù) > 設(shè)計(jì)應(yīng)用 > 一種低噪聲快速轉(zhuǎn)換頻率合成器的設(shè)計(jì)與實(shí)現(xiàn)

          一種低噪聲快速轉(zhuǎn)換頻率合成器的設(shè)計(jì)與實(shí)現(xiàn)

          作者: 時(shí)間:2012-08-27 來(lái)源:網(wǎng)絡(luò) 收藏

          摘要 介紹了一種低相位噪聲、快速的設(shè)計(jì)與實(shí)現(xiàn),采用DDS、變帶寬、頻率預(yù)置等多種措施,頻率時(shí)間80μs,并對(duì)實(shí)驗(yàn)結(jié)果進(jìn)行了分析討論。實(shí)驗(yàn)結(jié)果表明,該合成器相位噪聲具有良好、鎖定時(shí)間短,適合在超短波電臺(tái)中應(yīng)用。
          關(guān)鍵詞 ;相位噪聲;時(shí)間;DDS

          近年來(lái),隨著超短波通信電臺(tái)向?qū)掝l段、高跳速、多業(yè)務(wù)、多功能的方向發(fā)展,作為電臺(tái)重要組成部分的,承擔(dān)著為電臺(tái)提供所需本振信號(hào)和各種時(shí)鐘的關(guān)鍵作用,其帶寬、相位噪聲、轉(zhuǎn)換時(shí)間等指標(biāo)直接影響電臺(tái)的通信性能。
          超短波電臺(tái)跳速的提高,要求頻率合成器轉(zhuǎn)換時(shí)間縮短,以減小信道轉(zhuǎn)換過(guò)程的開(kāi)銷(xiāo),有利于提高數(shù)傳速率。而目前超短波電臺(tái)應(yīng)用趨勢(shì)是:多部超短波電臺(tái)和短波電臺(tái)裝于同一輛車(chē)中。為減小電臺(tái)之間的相互干擾,頻率合成器的相位噪聲指標(biāo)要求也越來(lái)越高,因?yàn)橥ㄟ^(guò)降低合成器相位噪聲,比使用大功率共址濾波器成本低得多。因此,超短波電臺(tái)頻率合成器的發(fā)展趨勢(shì)是提高相位噪聲和鎖定時(shí)間指標(biāo)。

          1 總體設(shè)計(jì)方案
          在傳統(tǒng)的單一鎖相頻率合成器中,由于鎖相倍頻在鑒相頻率放大的同時(shí),也將噪聲同樣放大,其相噪惡化程度為20logN,其中N為分頻比。因此其頻率分辨率越高,則參考頻率越低,環(huán)路進(jìn)入鎖定的暫態(tài)時(shí)間就越長(zhǎng),相位噪聲也就越大。所以,傳統(tǒng)的單環(huán)PLL頻率合成器無(wú)法實(shí)現(xiàn)較高的頻率分辨率。而DDS具有相位噪聲低、頻率分辨率高、頻率轉(zhuǎn)換時(shí)間快等優(yōu)點(diǎn),將DDS與PLL結(jié)合是實(shí)現(xiàn)高換頻速度、低相位噪聲特性的高分辨率頻率合成器的有效途徑。
          頻率合成器的輸出頻率為
          c.JPG
          式中,N為DDS總的頻率字長(zhǎng);K為所選的頻率字;fr為參考頻率。
          這種方案的頻率分辨率為
          d.JPG
          由此可見(jiàn),DDS與PLL結(jié)合的設(shè)計(jì)方案頻率分辨率遠(yuǎn)優(yōu)于PLL,而輸出頻率較DDS則增加了M倍。較之單一的PLL或DDS有較好的性能。因此目前超短波頻率合成器多采用DDS與PLL結(jié)合的設(shè)計(jì)方案,雖然目前開(kāi)發(fā)出很多帶小數(shù)分頻的鎖相環(huán)電路,但在方案設(shè)計(jì)上沒(méi)有DDS方便。
          盡管采用DDS后可以大幅提高鑒相頻率,縮短鎖定時(shí)間,但對(duì)于幾十μs的指標(biāo)要求,還必須采用其他的輔助加速鎖定措施,常用的有頻率預(yù)置法和變環(huán)路帶寬法。
          頻率合成器的頻率轉(zhuǎn)換過(guò)程,分為頻率捕獲和相位跟蹤兩個(gè)階段。頻率捕獲時(shí)間和初始頻差有關(guān),相位跟蹤時(shí)間則由環(huán)路參數(shù)決定。一般情況下,頻率捕獲時(shí)間遠(yuǎn)大于相位跟蹤時(shí)間。預(yù)置方法就是采用外部指令信號(hào)控制產(chǎn)生一個(gè)粗調(diào)電壓,使VCO粗調(diào)到所需頻率附近,從而縮短頻率鎖定時(shí)間。粗調(diào)電壓的數(shù)據(jù)越精確,在跳頻模式時(shí)就可以保證環(huán)路電壓越穩(wěn)定,有利于縮短環(huán)路的鎖定時(shí)間。
          環(huán)路帶寬越寬,則跳頻速率越快,但因此會(huì)帶來(lái)參考雜散和相位噪聲變差等問(wèn)題。所以一般情況下,不采取單獨(dú)增加環(huán)路帶寬的方法來(lái)縮短鎖定時(shí)間,而通過(guò)動(dòng)態(tài)地改變決定環(huán)路帶寬的相關(guān)參數(shù)來(lái)達(dá)到降低跳頻時(shí)間的目的,即變環(huán)路帶寬法。
          介紹一種快速轉(zhuǎn)換頻率合成器的設(shè)計(jì)原理和試驗(yàn)結(jié)果,采用DDS與PLL結(jié)合的設(shè)計(jì)方案,同時(shí)采用頻率預(yù)置和變環(huán)路帶寬等多種輔助措施,使頻率合成器的轉(zhuǎn)換時(shí)間達(dá)到80μs,同時(shí)保證了良好的相位噪聲指標(biāo),適合超短波電臺(tái)應(yīng)用。圖1為頻率合成器原理框圖,采用DDS+PLL組合的方法來(lái)實(shí)現(xiàn)頻率合成。

          本文引用地址:http://www.ex-cimer.com/article/185863.htm

          e.JPG


          高穩(wěn)定度溫補(bǔ)振蕩器輸入到DDS產(chǎn)生一個(gè)高穩(wěn)定的頻率fDDS經(jīng)鎖相環(huán)電路R次分頻后得到鎖相環(huán)路的參考鑒相頻率fd。壓控振蕩器的輸出經(jīng)N次分頻后得到的fN,與fd在鑒相器中進(jìn)行相位比較后產(chǎn)生一個(gè)直流控制電壓,送入環(huán)路濾波器,得到VCO控制電壓。環(huán)路鎖定時(shí),壓控振蕩器輸出頻率fo=Nfd=NfDDS/R。改變DDS輸出頻率fd,就可以改變輸出頻率。
          采用AD公司的低功耗芯片AD9951。AD9951最高工作時(shí)鐘為400 MHz,采用了先進(jìn)的CMOS技術(shù)。它結(jié)合一個(gè)片內(nèi)高速、高性能DAC和比較器構(gòu)成一個(gè)完全數(shù)字控制可編程頻率合成器,并具有時(shí)鐘產(chǎn)生功能。系統(tǒng)內(nèi)部采用32 bit相位累加器,在數(shù)據(jù)進(jìn)入正弦查找表之間被截短成19 bit,最后由內(nèi)部集成的14 bitDAC產(chǎn)生模擬信號(hào)輸出。采用5 bit字去控制相位,允許輸出相位以180°、90°、45°、22.5°、11.25°及其任意組合的增量改變。內(nèi)部高速比較器能接受DAC輸出,以產(chǎn)生一個(gè)低抖動(dòng)的方波,這樣使AD9951用作時(shí)鐘發(fā)生器時(shí)變得非常方便。通過(guò)外部的一個(gè)可調(diào)電阻,輸出電流的幅度可調(diào)節(jié)成10~20 mA,輸出電壓可達(dá)+1 V。同時(shí),AD9951采用48腳表面封裝形式封裝,支持SPI兼容串口的操作,所有寄存器可以通過(guò)并行I/O口寫(xiě)入,也可以通過(guò)串口寫(xiě)入,如定頻、捷變跳頻等,滿足了不同設(shè)計(jì)的要求。頻率調(diào)諧、相位調(diào)節(jié)字可以以串行方式送入芯片內(nèi)部。采用串行輸入方式時(shí)只需4根控制接口線,即復(fù)位線、頻率更新線、時(shí)鐘線和數(shù)據(jù)線。


          上一頁(yè) 1 2 下一頁(yè)

          評(píng)論


          相關(guān)推薦

          技術(shù)專(zhuān)區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();