<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 模擬技術(shù) > 設(shè)計(jì)應(yīng)用 > 數(shù)字化幅度調(diào)制電路的實(shí)現(xiàn)

          數(shù)字化幅度調(diào)制電路的實(shí)現(xiàn)

          作者: 時(shí)間:2009-07-15 來源:網(wǎng)絡(luò) 收藏

          1 引言

          本文引用地址:http://www.ex-cimer.com/article/188826.htm

          信息通過傳輸介質(zhì)在發(fā)送端和接收端之間傳送。信息的原始形式通常是不適合直接進(jìn)行傳送,需要把它加載到高頻載波上來實(shí)現(xiàn)信息的傳送。把信息加載到高頻載波上的過程被稱作為調(diào)制。

          是一種相對便宜的調(diào)制方式,尤其是全載波雙邊帶可以使用簡單的解調(diào)器,因此這種調(diào)制方式在商業(yè)廣播以及民用波段的雙向無線移動(dòng)通信中獲得應(yīng)用。

          原始信息信號、載波信號和全載波雙邊帶信號之間的關(guān)系如下。

          原始信息信號:

          (1)

          載波信號:

          (2)

          全載波雙邊帶幅度調(diào)制信號:

          (3)

          在上面的關(guān)系式中,kam為調(diào)幅靈敏度,反映原始信息信號對幅度調(diào)制信號的高頻幅度的影響程度。

          傳統(tǒng)的幅度調(diào)制是一個(gè)非線性,利用非線性特性實(shí)現(xiàn)調(diào)制信號中的乘法運(yùn)算。幅度調(diào)制電路有兩個(gè)輸入端,一個(gè)輸入原始信息信號;另一個(gè)輸入單一頻率的載波信號。不同的廣播電臺采用不同的載波頻率以避免相互干擾。利用LC振蕩器產(chǎn)生載波信號具有頻率調(diào)節(jié)方便的優(yōu)點(diǎn),但是頻率穩(wěn)定度較低;使用晶體振蕩器具有頻率穩(wěn)定度高,但是頻率調(diào)節(jié)不方便。

          2 直接數(shù)字頻率合成

          直接數(shù)字頻率合成(Direct Digital Synthesis,DDS)技術(shù)利用數(shù)字方法可以產(chǎn)生任意波形的信號,而且所產(chǎn)生的信號同時(shí)具有頻率穩(wěn)定度高和頻率調(diào)整精度高的特點(diǎn),適合用作載波信號。基于DDS技術(shù)產(chǎn)生信號波形的原理圖如圖1所示。

          圖1 DDS技術(shù)產(chǎn)生信號波形的原理圖

          DDS信號源的核心是相位累加器,它類似一個(gè)計(jì)數(shù)器。每來一個(gè)時(shí)鐘信號,相位累加器的輸出就增加一個(gè)步長的相位增加量,相位增加量的大小由頻率控制字確定,改變頻率控制字就可以改變相位增加的速度,從而改變輸出信號的頻率。信號波形的數(shù)據(jù)表里包含待產(chǎn)生信號一個(gè)完整周期的幅度―相位數(shù)據(jù),該數(shù)據(jù)可以由需要產(chǎn)生的信號利用采樣和量化來獲得。從波形數(shù)據(jù)表中讀出相位累加器輸出相位信號值對應(yīng)的幅度數(shù)據(jù),通過數(shù)字/模擬轉(zhuǎn)換器將該數(shù)據(jù)轉(zhuǎn)換成所需的模擬信號波形輸出。數(shù)字/模擬轉(zhuǎn)換器輸出的信號是由一系列階梯近似的模擬信號,由于也是每來一個(gè)時(shí)鐘信號完成一次轉(zhuǎn)換,因此輸出信號中的諧波頻率是固定的,利用濾波器濾除這些諧波分量就可以獲得滿意的輸出信號波形。

          相位累加器的相位累加為循環(huán)迭加,這樣使得輸出信號的相位是連續(xù)的。相位累加器進(jìn)行線性相位累加,累加至滿量時(shí)產(chǎn)生一次計(jì)數(shù)溢出,這個(gè)溢出率即為輸出信號的頻率。頻率控制字內(nèi)的相位增加量越大,相位累加器的溢出率越高,輸出信號的頻率越高。

          如果相位累加器的位數(shù)為N,頻率控制字內(nèi)的相位增量為K,參考時(shí)鐘頻率為fSYSCLK,則DDS系統(tǒng)輸出信號的頻率fO為:

          (4)

          輸出信號的頻率分辨率Δf0為:

          (5)

          參考時(shí)鐘由晶體振蕩器提供,具有較高的頻率穩(wěn)定度。DDS系統(tǒng)的工作類似于數(shù)字分頻電路,輸出信號的頻率穩(wěn)定度等同于參考時(shí)鐘的頻率穩(wěn)定度,即可以達(dá)到晶振的頻率穩(wěn)定度。

          3 AD9854芯片介紹

          AD9854把DDS技術(shù)和高速數(shù)字/模擬轉(zhuǎn)換器結(jié)合在一起,形成一個(gè)全、可編程的頻率合成器。在一個(gè)精確的時(shí)鐘源的控制下,它可以產(chǎn)生一個(gè)頻譜較純、頻率D相位D幅度可編程的正弦信號。

          AD9854的DDS核具有48位的相位累加器,當(dāng)系統(tǒng)時(shí)鐘為300MHz時(shí),輸出信號的頻率分辨率仍可達(dá)1mHz。如果一個(gè)正弦波信號周期包括30個(gè)采樣點(diǎn),它的輸出信號頻率可達(dá)10MHz,滿足幅度調(diào)制廣播載波頻率535~1605kHz的要求。AD9854還包含一個(gè)4×到20×?xí)r鐘倍頻電路,因此該電路允許使用較低的外部時(shí)鐘頻率來獲得較高的系統(tǒng)時(shí)鐘頻率,降低了對外部時(shí)鐘在工作頻率方面的要求。

          在信號幅度控制方面,AD9854具有12位數(shù)字乘法器,提供12位的輸出幅度調(diào)整率。該乘法器位于波形數(shù)據(jù)表與數(shù)字/模擬轉(zhuǎn)換器之間,它的一個(gè)輸入來自波形數(shù)據(jù)表的數(shù)字載波,另一個(gè)輸入來芯片外部的數(shù)據(jù)。當(dāng)外部輸入的數(shù)據(jù)來源于原始信息信號時(shí),利用這個(gè)乘法器就可以實(shí)現(xiàn)如式(3)所示的幅度調(diào)制信號。

          AD9854工作參數(shù),例如輸出幅度調(diào)制信號的載波頻率以及幅度,可以通過向它的寄存器寫入數(shù)據(jù)來實(shí)現(xiàn)。寄存器的訪問具有8位并行和SPI兼容的串行兩種方式。向寄存器寫入數(shù)據(jù)并不能使AD9854的工作發(fā)生變化,芯片只有在接收到數(shù)據(jù)刷新信號才能實(shí)現(xiàn)工作狀態(tài)的改變。刷新信號可以由AD9854內(nèi)部電路產(chǎn)生,也可以由外部提供。本設(shè)計(jì)采用外部提供,保證數(shù)據(jù)刷新與對原始信息信號采樣的同步。

          4 AD9854芯片串行數(shù)據(jù)接口的實(shí)現(xiàn)

          AD9854的工作控制可通過向其內(nèi)部寄存器寫入數(shù)據(jù)來實(shí)現(xiàn),工作狀態(tài)可由從其內(nèi)部寄存器讀出數(shù)據(jù)來獲得。工作在串行方式時(shí),芯片的大多數(shù)控制管腳處于非激活狀態(tài),僅使用少數(shù)控制管腳,本設(shè)計(jì)就采用串行方式控制AD9854的工作。串行方式的2線信號傳輸方式信息傳輸包括2部分,第一部分提供控制指令,包括讀/寫信息和寄存器地址;第二部分提供具體的工作數(shù)據(jù),其關(guān)系如圖2所示。

          圖2 串行傳輸關(guān)系圖

          DIY機(jī)械鍵盤相關(guān)社區(qū):機(jī)械鍵盤DIY



          上一頁 1 2 下一頁

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();