<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 設(shè)計應(yīng)用 > 步進電機控制器的FPGA實現(xiàn)

          步進電機控制器的FPGA實現(xiàn)

          作者: 時間:2009-04-22 來源:網(wǎng)絡(luò) 收藏

          O 引 言
          隨著廣泛地應(yīng)用于數(shù)字控制系統(tǒng)中作為伺服元件,在實時性和靈活性等性能上的要求越來越高。那么如何靈活、有效地控制的運轉(zhuǎn)成為研究的主要方向。這里采用現(xiàn)場可編程邏輯門陣列(Field Programmable Gate Array,),通過VHDL語言編程來實現(xiàn)四相步進電機的控制。利用設(shè)計具有以下優(yōu)點:
          硬件設(shè)計軟件化 的開發(fā)在功能層面上可以脫離硬件在EDA軟件上做軟仿真。當(dāng)功能確定無誤后可以進行硬件電路板的設(shè)計。最后將設(shè)計好的,由EDA軟件生成的燒寫文件下載到配置設(shè)備中去,進行在線調(diào)試,如果這時的結(jié)果與要求不一致,可以立即更改設(shè)計軟件,并再次燒寫到配置芯片中而不必改動外接硬件電路。進行分層模塊設(shè)汁后系統(tǒng)設(shè)計變得更加簡單,在實時性和靈活性等性能上都有很大的提高,有利于步進電機的運動控制。
          高度集成化,高工作頻率 一般的FPGA內(nèi)部都集成有上百萬的邏輯門,可以在其內(nèi)部規(guī)劃出多個與傳統(tǒng)小規(guī)模集成器件功能相當(dāng)?shù)哪K。另外,一般的FPGA內(nèi)部都有PLL倍頻和分頻電路模塊,這樣可以在外部采用較低頻率的晶振而在內(nèi)部獲得較高頻率的時鐘,進一步解決了電磁干擾和電磁兼容問題。

          本文引用地址:http://www.ex-cimer.com/article/192088.htm


          1 步進電機的工作原理
          步進電動機是一種自動化執(zhí)行部件,和數(shù)字系統(tǒng)結(jié)合可把脈沖數(shù)轉(zhuǎn)換成角位移,實現(xiàn)其正轉(zhuǎn)、反轉(zhuǎn)、手動和自動控制。四相步進電機有兩組線圈A和B。A,B兩組垂直擺放線圈的電流方向的排列組合,最多可以產(chǎn)生8種磁場方向,分別是O°,45°,90°,135°,180°,225°,270°,315°。表1給出了四相步進電機的8個方向和電流以及電壓信號的關(guān)系。
          四相電動機有3種激磁方式:
          一相激磁法:當(dāng)目標(biāo)角度是90°的整數(shù)倍時,采用這種方法。
          二相激磁法:當(dāng)目標(biāo)角度是45°,135°,225°,315°的整數(shù)倍時,采用這種方法。
          一、二相激磁法:即完全按照表1所列的信號順序。

          2 步進電機定位的整體設(shè)計
          步進電機定位的系統(tǒng)主要由步進電機方向設(shè)定電路模塊、步進電機步進移動與定位控制模塊以及編碼輸出模塊構(gòu)成。前兩個模塊完成電機旋轉(zhuǎn)方向設(shè)定、激磁方式和定位角度的換算等工作,后一模塊用于對換算后的角度量編碼輸出。系統(tǒng)框圖如圖1所示。


          上一頁 1 2 3 4 下一頁

          關(guān)鍵詞: FPGA 步進電機 控制器

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();