<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 測(cè)試測(cè)量 > 設(shè)計(jì)應(yīng)用 > 使用LabVIEW FPGA模塊設(shè)計(jì)IP核

          使用LabVIEW FPGA模塊設(shè)計(jì)IP核

          作者: 時(shí)間:2012-06-11 來源:網(wǎng)絡(luò) 收藏

          88.gif

          圖8:展示PWM發(fā)生器IP的使用方法的VI范例

          總結(jié)

          如果創(chuàng)建的IP代碼模塊靈活且易于使用,那么 IP代碼模塊可以在應(yīng)用開發(fā)中提供顯著的優(yōu)勢(shì)和節(jié)約。該文檔所介紹的指導(dǎo)方針將有助于確保IP可以方便地復(fù)用并無須為單個(gè)應(yīng)用進(jìn)行定制處理。

          下面是在開發(fā)任何 IP過程中應(yīng)當(dāng)遵循的指導(dǎo)方針的總結(jié)列表:

          I/O資源不應(yīng)嵌入在IP代碼模塊的框圖中。

          請(qǐng)勿在IP中使用項(xiàng)目引用的存儲(chǔ)器讀寫函數(shù)或FIFO讀寫函數(shù)。如可能,使用一個(gè)VI scoped存儲(chǔ)塊或FIFO( 8.20)。

          文檔化表述IP中存儲(chǔ)器(存儲(chǔ)器拓展例程存儲(chǔ)塊、FIFO和查詢表等)的所有使用。在IP的分布中包含任何存儲(chǔ)器拓展例程存儲(chǔ)塊VI。

          不要在IP中包含任何循環(huán)結(jié)構(gòu)或等待/循環(huán)定時(shí)器函數(shù),除非這便是該IP的主要目標(biāo)。

          在IP中使用局部變量存儲(chǔ)狀態(tài)信息。

          文檔化表述IP的任何非正常的定時(shí)行為。


          上一頁 1 2 3 下一頁

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();