<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 測(cè)試測(cè)量 > 設(shè)計(jì)應(yīng)用 > 基于SAR系統(tǒng)的高速數(shù)據(jù)采集和存儲(chǔ)系統(tǒng)

          基于SAR系統(tǒng)的高速數(shù)據(jù)采集和存儲(chǔ)系統(tǒng)

          作者: 時(shí)間:2010-12-02 來(lái)源:網(wǎng)絡(luò) 收藏

           合成孔徑雷達(dá)()是主動(dòng)式微波成像雷達(dá),近年來(lái)隨著合成孔徑雷達(dá)的高速發(fā)展,對(duì)作為重要部分的數(shù)據(jù)和存儲(chǔ)的要求越來(lái)越高,比如對(duì)數(shù)據(jù)的采樣率、分辨率、存儲(chǔ)深度、數(shù)字信號(hào)處理速度、抗干擾能力等方面提出更高要求。的數(shù)據(jù)和存儲(chǔ)處理需要滿足正交兩路(I/Q)雷達(dá)回波信號(hào)數(shù)據(jù)同時(shí)采集,并實(shí)現(xiàn)高速傳輸和大容量長(zhǎng)時(shí)間實(shí)時(shí)存儲(chǔ)。根據(jù)這一要求,結(jié)合采集存儲(chǔ)的發(fā)展趨勢(shì),設(shè)計(jì)并實(shí)現(xiàn)了一種應(yīng)用于,基于SATA硬盤(pán)的采集和存儲(chǔ)系統(tǒng)。采用FPGA實(shí)現(xiàn)系統(tǒng)工作時(shí)序控制,DSP功能模塊完成信號(hào)的處理和對(duì)硬盤(pán)的操作。該系統(tǒng)能夠?qū)崿F(xiàn)脫機(jī),長(zhǎng)時(shí)間,高速大容量的數(shù)據(jù)存儲(chǔ)。

          本文引用地址:http://www.ex-cimer.com/article/195199.htm

            1 系統(tǒng)構(gòu)成及設(shè)計(jì)原理

            本系統(tǒng)由模數(shù)轉(zhuǎn)換模塊、采集存儲(chǔ)控制模塊、DSP功能模塊和數(shù)據(jù)存儲(chǔ)器(硬盤(pán))組成。其中模數(shù)轉(zhuǎn)換模塊和采集存儲(chǔ)控制模塊位于電路板1,數(shù)據(jù)存儲(chǔ)接口模塊位于電路板2,板間按照SHB接口協(xié)議通信。系統(tǒng)實(shí)現(xiàn)思路為:首先采集正交輸出的I/O兩路模擬正交信號(hào),經(jīng)過(guò)并在雷達(dá)回波有效時(shí)間內(nèi)將數(shù)據(jù)送入DSP功能模塊轉(zhuǎn)化數(shù)據(jù)格式。在兩次回波有效窗的間隔時(shí)間內(nèi),將數(shù)據(jù)存入SATA硬盤(pán)中,系統(tǒng)結(jié)構(gòu)如圖l所示。

            


            1.1 數(shù)據(jù)采集模塊

            模數(shù)轉(zhuǎn)換模塊主要功能是:在120 MHz的采樣時(shí)鐘下,將I/O兩路模擬正交信號(hào)轉(zhuǎn)換成12位數(shù)字信號(hào),送給后端的采集存儲(chǔ)控制模塊。該模塊由信號(hào)調(diào)理器和A/D轉(zhuǎn)換器2部分構(gòu)成。信號(hào)調(diào)理器主要完成對(duì)輸入信號(hào)的幅度和共模電壓的調(diào)整,A/D轉(zhuǎn)換器將調(diào)整后的模擬信號(hào)均勻采樣得到其量化的數(shù)字信號(hào)。根據(jù)系統(tǒng)要求,運(yùn)算放大器選用AD8351,該器件是用于RF和IF頻段的低功耗差分運(yùn)放,其輸出放大增益和差分共模電壓均可通過(guò)調(diào)整片外相應(yīng)電阻阻值實(shí)現(xiàn)。A/D轉(zhuǎn)換器選用AD9430,該器件分辨率為12位,最高轉(zhuǎn)換速度為170百萬(wàn)次/秒,輸入信號(hào)模擬帶寬為710 MHz,輸出模式可靈活配置。

            1.2 采集存儲(chǔ)控制模塊

            采集存儲(chǔ)控制模塊是整個(gè)系統(tǒng)運(yùn)行控制的核心部分之一,其內(nèi)部框圖如圖1的FPGA部分。該采集控制模塊的主要功能是:前端采集的數(shù)字信號(hào)在輸出控制模塊的控制下(編碼等處理),經(jīng)SHB送到DSP功能模塊,DSP通過(guò)基于紐曼-皮爾遜準(zhǔn)則的滑窗檢測(cè)算法計(jì)算出有效信號(hào)的具體位置,并得到這些參數(shù)(CalEnd、Start、Hold、Error、Pause、Delay、CalPRF、PRF-INCRS和Full),然后經(jīng)過(guò)編碼將這些參數(shù)傳送給采集控制模塊,采集控制模塊通過(guò)譯碼模塊,恢復(fù)這些參數(shù)并通過(guò)這些參數(shù)控制時(shí)序,就可以采集信號(hào)的有效部分。最后通過(guò)SHB把這些有效信號(hào)傳給DSP功能模塊,存儲(chǔ)在SATA硬盤(pán)中。該采集存儲(chǔ)控制模塊的時(shí)鐘為120 MHz。

            根據(jù)設(shè)計(jì)要求,采集存儲(chǔ)控制模塊的最高工作頻率為240 MHz,由于該模塊的主要功能是對(duì)系統(tǒng)中各子模塊的接口連接和控制,所以其外部接口較多,還涉及到多電平模式間的轉(zhuǎn)換和兼容。通過(guò)最后的仿真綜合分析,本方案設(shè)計(jì)選用Virtex 4系列的FPGA器件XC4VFXl2。

            如圖1中的FPGA框圖,采集存儲(chǔ)控制模塊包括4個(gè)主要的功能模塊,其中總體時(shí)序控制模塊是核心模塊。按照系統(tǒng)工作要求,本系統(tǒng)設(shè)計(jì)并實(shí)現(xiàn)了如下工作:系統(tǒng)開(kāi)機(jī)后,外部硬件電路將對(duì)系統(tǒng)進(jìn)行自動(dòng)復(fù)位。復(fù)位信號(hào)有效后,總體時(shí)序控制部分將進(jìn)人狀態(tài)“0001”,對(duì)總體時(shí)序控制所有參數(shù)進(jìn)行初始化配置。參數(shù)配置結(jié)束后,將自動(dòng)從狀態(tài)“0001”跳轉(zhuǎn)至狀態(tài)“0010”。狀態(tài)“0010”是總體時(shí)序控制部分的狀態(tài)跳轉(zhuǎn)中樞,該狀態(tài)根據(jù)譯碼產(chǎn)生的控制信號(hào)跳轉(zhuǎn)至相應(yīng)的下一個(gè)工作狀態(tài)。根據(jù)系統(tǒng)工作方案,需要FPGA在接收到START(開(kāi)始傳送數(shù)據(jù))信號(hào)后,向后端傳送單個(gè)完整的PRI內(nèi)的采樣信號(hào)。此時(shí)CalEnd信號(hào)為系統(tǒng)初始化時(shí),所賦予的初始值‘0’。當(dāng)FPGA接收到START信號(hào)后,將檢測(cè)CalEnd是否為其初始值‘0’,當(dāng)條件“CalEnd=‘0’and START=‘1’”成立時(shí),總體時(shí)序控制部分將從狀態(tài)“0010”跳轉(zhuǎn)至狀態(tài)“001 1”??傮w時(shí)序控制部分跳轉(zhuǎn)至“0011”狀態(tài)后,將在下一個(gè)PRF上升沿到來(lái)后,通過(guò)PCI輸出管理部分開(kāi)啟SHB輸出通道,傳送一個(gè)完整PRI內(nèi)的采樣數(shù)據(jù)。數(shù)據(jù)傳送完畢后,自動(dòng)由狀態(tài)“0011”跳轉(zhuǎn)回狀態(tài)“0010”,并關(guān)閉SHB輸出通道。至此,F(xiàn)PGA對(duì)計(jì)算結(jié)束前接收的一次START命令執(zhí)行完畢。當(dāng)信號(hào)檢測(cè)部分一旦檢測(cè)到有效回波位未能完整落入采樣PRI內(nèi)時(shí),將通過(guò)SHB輸入端口向FPGA傳送DEIAY信號(hào)。在總體時(shí)序控制部分接收到輸入信號(hào)譯碼產(chǎn)生的DElAY信號(hào)后,將在下一個(gè)PRF上升沿到來(lái)后,由狀態(tài)“0010”跳轉(zhuǎn)至狀態(tài)“0100”,當(dāng)延時(shí)完成后自動(dòng)由狀態(tài)“0100”跳轉(zhuǎn)回狀態(tài)“0010”。當(dāng)信號(hào)檢測(cè)結(jié)束后,后端模塊將通過(guò)SHB輸入單元把PRF延遲量傳送至FPGA,同時(shí)將總體時(shí)序控制中的Ca-lEnd信號(hào)置高。當(dāng)總體時(shí)序控制部分檢測(cè)到CalEnd信號(hào)為高時(shí),將在下一個(gè)PRF上升沿到來(lái)后,由狀態(tài)“0010”跳轉(zhuǎn)至狀態(tài)“0101”。在狀態(tài)“0101”中,根據(jù)計(jì)算的PRF延遲結(jié)果,對(duì)采樣PRF的位置進(jìn)行一次延遲。延遲結(jié)束后,將自動(dòng)跳轉(zhuǎn)至狀態(tài)“0110”。狀態(tài)“0110”中??傮w時(shí)序控制部分將通過(guò)SHB輸出控制模塊關(guān)閉SHB通道。同時(shí)等待數(shù)據(jù)傳送開(kāi)始信號(hào)START。

            2 DSP功能模塊

            DSP功能模塊主要由SMT387模塊完成。本設(shè)計(jì)利用TMS320C6415型DSP完成了對(duì)回波信號(hào)采集數(shù)據(jù)的分析處理,并為前端數(shù)據(jù)模塊提供相應(yīng)計(jì)算結(jié)果,使信號(hào)采集模塊得以準(zhǔn)確完成對(duì)回波信號(hào)的有效采集。同時(shí),利用SMT6087的文件操作系統(tǒng)將采樣數(shù)據(jù)轉(zhuǎn)換為FAT32文件系統(tǒng)下的數(shù)據(jù)格式,并將數(shù)據(jù)存人SATA硬盤(pán)中。

            SMT387是一款專門(mén)用于數(shù)據(jù)硬盤(pán)存儲(chǔ)的DSP功能模塊。其主要特點(diǎn)有:

            1)雙SATA硬盤(pán)接口,采用Silicon Image Serial ATALink 3512;

            2)600 MHz工作頻率的TMS320C6415DSP;

            3)Virtex-ⅡPro FPGA;

            4)1組60針的SHB接口;

            5)8 MB的Flash為脫機(jī)工作提供配置,其中數(shù)據(jù)傳輸采用的SHB協(xié)議是一種傳輸協(xié)議,其傳輸速率可達(dá)240 MB/s。

            SHB總線由兩組相互獨(dú)立的SunDance數(shù)據(jù)總線(SunDance Digital Bus,SDB)單元SDBl和SDB2構(gòu)成,每組SDB單元含有16根數(shù)據(jù)線,3根控制信號(hào)線WEN,REQ,ACK和1根時(shí)鐘線CLK。每組SDB單元均可獨(dú)立地配置為單向收發(fā)或雙向收發(fā)的工作模式。

            在本系統(tǒng)中,采用滑窗檢測(cè)的方法對(duì)有效回波進(jìn)行檢測(cè)?;皺z測(cè)器在PRI內(nèi)對(duì)N個(gè)采樣樣本點(diǎn)求平方和,其檢測(cè)變量數(shù)學(xué)表達(dá)式:

            

          公式 合成孔徑雷達(dá)相關(guān)文章:合成孔徑雷達(dá)原理

          上一頁(yè) 1 2 3 下一頁(yè)

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();