<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 自制單片機(jī)之五(1)……LCD1602的驅(qū)動(dòng)

          自制單片機(jī)之五(1)……LCD1602的驅(qū)動(dòng)

          作者: 時(shí)間:2016-09-06 來(lái)源:網(wǎng)絡(luò) 收藏

            已很普遍了,具體介紹我就不多說(shuō)了,市面上字符液晶絕大多數(shù)是基于HD44780液晶芯片的,控制原理是完全相同的,因此HD44780寫(xiě)的控制程序可以很方便地應(yīng)用于市面上大部分的字符型液晶。字符型LCD通常有14條引腳線或16條引腳線的LCD,多出來(lái)的2條線是背光電源線VCC(15腳)和地線GND(16腳),其控制原理與14腳的LCD完全一樣,定義如下表所示:

          本文引用地址:http://www.ex-cimer.com/article/201609/296602.htm

            字符型LCD的引腳定義

            

           

            HD44780內(nèi)置了DDRAM、CGROM和CGRAM。

            DDRAM就是顯示數(shù)據(jù)RAM,用來(lái)寄存待顯示的字符代碼。共80個(gè)字節(jié),其地址和屏幕的對(duì)應(yīng)關(guān)系如下表:

            

           

            也就是說(shuō)想要在屏幕的第一行第一列顯示一個(gè)"A"字,就要向DDRAM的00H地址寫(xiě)入“A”字的代碼就行了。但具體的寫(xiě)入是要按LCD模塊的指令格式來(lái)進(jìn)行的,后面我會(huì)說(shuō)到的。那么一行可有40個(gè)地址呀?是的,在1602中我們就用前16個(gè)就行了。第二行也一樣用前16個(gè)地址。對(duì)應(yīng)如下:

            DDRAM地址與顯示位置的對(duì)應(yīng)關(guān)系

            

           

            我們知道文本文件中每一個(gè)字符都是用一個(gè)字節(jié)的代碼記錄的。一個(gè)漢字是用兩個(gè)字節(jié)的代碼記錄。在PC上我們只要打開(kāi)文本文件就能在屏幕上看到對(duì)應(yīng)的字符是因?yàn)樵诓僮飨到y(tǒng)里和BIOS里都固化有字符字模。什么是字模?就代表了是在點(diǎn)陣屏幕上點(diǎn)亮和熄滅的信息數(shù)據(jù)。例如“A”

            字的字模:

            01110     ○■■■○

            10001     ■○○○■

            10001     ■○○○■

            10001     ■○○○■

            11111     ■■■■■

            10001     ■○○○■

            10001     ■○○○■

            上圖左邊的數(shù)據(jù)就是字模數(shù)據(jù),右邊就是將左邊數(shù)據(jù)用“○”代表0,用“■”代表1。看出是個(gè)“A”字了嗎?在文本文件中“A”字的代碼是41H,PC收到41H的代碼后就去字模文件中將代表A字的這一組數(shù)據(jù)送到顯卡去點(diǎn)亮屏幕上相應(yīng)的點(diǎn),你就看到“A”這個(gè)字了。

            剛才我說(shuō)了想要在屏幕的第一行第一列顯示一個(gè)"A"字,就要向DDRAM的00H地址寫(xiě)入“A”字的代碼41H就行了,可41H這一個(gè)字節(jié)的代碼如何才能讓LCD模塊在屏幕的陣點(diǎn)上顯示“A”字呢?同樣,在LCD模塊上也固化了字模存儲(chǔ)器,這就是CGROM和CGRAM。HD44780內(nèi)置了192個(gè)常用字符的字模,存于字符產(chǎn)生器CGROM(Character Generator ROM)中,另外還有8個(gè)允許用戶(hù)自定義的字符產(chǎn)生RAM,稱(chēng)為CGRAM(Character Generator RAM)。下圖說(shuō)明了CGROM和CGRAM與字符的對(duì)應(yīng)關(guān)系。

            

           

            從上圖可以看出,“A”字的對(duì)應(yīng)上面高位代碼為0100,對(duì)應(yīng)左邊低位代碼為0001,合起來(lái)就是01000001,也就是41H??梢?jiàn)它的代碼與我們PC中的字符代碼是基本一致的。因此我們?cè)谙駾DRAM寫(xiě)C51字符代碼程序時(shí)甚至可以直接用P1='A'這樣的方法。PC在編譯時(shí)就把“A”先轉(zhuǎn)為41H代碼了。

            字符代碼0x00~0x0F為用戶(hù)自定義的字符圖形RAM(對(duì)于5X8點(diǎn)陣的字符,可以存放8組,5X10點(diǎn)陣的字符,存放4組),就是CGRAM了。后面我會(huì)詳細(xì)說(shuō)的。

            0x20~0x7F為標(biāo)準(zhǔn)的ASCII碼,0xA0~0xFF為日文字符和希臘文字符,其余字符碼(0x10~0x1F及0x80~0x9F)沒(méi)有定義。

            那么如何對(duì)DDRAM的內(nèi)容和地址進(jìn)行具體操作呢,下面先說(shuō)說(shuō)HD44780的指令集及其設(shè)置說(shuō)明,請(qǐng)瀏覽該指令集,并找出對(duì)DDRAM的內(nèi)容和地址進(jìn)行操作的指令。

            共11條指令:

            1.清屏指令

            

           

            功能:<1> 清除液晶顯示器,即將DDRAM的內(nèi)容全部填入"空白"的ASCII碼20H;

            <2> 光標(biāo)歸位,即將光標(biāo)撤回液晶顯示屏的左上方;

            <3> 將地址計(jì)數(shù)器(AC)的值設(shè)為0。

            2.光標(biāo)歸位指令

            

           

            功能:<1> 把光標(biāo)撤回到顯示器的左上方;

            <2> 把地址計(jì)數(shù)器(AC)的值設(shè)置為0;

            <3> 保持DDRAM的內(nèi)容不變。

            3.進(jìn)入模式設(shè)置指令

            

           

            功能:設(shè)定每次定入1位數(shù)據(jù)后光標(biāo)的移位方向,并且設(shè)定每次寫(xiě)入的一個(gè)字符是否移動(dòng)。參數(shù)設(shè)定的

            情況如下所示:

            位名 設(shè)置

            I/D 0=寫(xiě)入新數(shù)據(jù)后光標(biāo)左移 1=寫(xiě)入新數(shù)據(jù)后光標(biāo)右移

            S 0=寫(xiě)入新數(shù)據(jù)后顯示屏不移動(dòng) 1=寫(xiě)入新數(shù)據(jù)后顯示屏整體右移1個(gè)字符

            4.顯示開(kāi)關(guān)控制指令

            

           

            功能:控制顯示器開(kāi)/關(guān)、光標(biāo)顯示/關(guān)閉以及光標(biāo)是否閃爍。參數(shù)設(shè)定的情況如下:

            位名 設(shè)置

            D 0=顯示功能關(guān) 1=顯示功能開(kāi)

            C 0=無(wú)光標(biāo) 1=有光標(biāo)

            B 0=光標(biāo)閃爍 1=光標(biāo)不閃爍

            5.設(shè)定顯示屏或光標(biāo)移動(dòng)方向指令

            

           

            功能:使光標(biāo)移位或使整個(gè)顯示屏幕移位。參數(shù)設(shè)定的情況如下:

            S/C R/L 設(shè)定情況

            0 0 光標(biāo)左移1格,且AC值減1

            0 1 光標(biāo)右移1格,且AC值加1

            1 0 顯示器上字符全部左移一格,但光標(biāo)不動(dòng)

            1 1 顯示器上字符全部右移一格,但光標(biāo)不動(dòng)

            6.功能設(shè)定指令

            

           

            功能:設(shè)定數(shù)據(jù)總線位數(shù)、顯示的行數(shù)及字型。參數(shù)設(shè)定的情況如下:

            位名 設(shè)置

            DL 0=數(shù)據(jù)總線為4位 1=數(shù)據(jù)總線為8位

            N 0=顯示1行 1=顯示2行

            F 0=5×7點(diǎn)陣/每字符 1=5×10點(diǎn)陣/每字符

            7.設(shè)定CGRAM地址指令

            

           

            功能:設(shè)定下一個(gè)要存入數(shù)據(jù)的CGRAM的地址。

            8.設(shè)定DDRAM地址指令

            

           

            功能:設(shè)定下一個(gè)要存入數(shù)據(jù)的CGRAM的地址。

            9.讀取忙信號(hào)或AC地址指令

            

           

            功能:<1> 讀取忙碌信號(hào)BF的內(nèi)容,BF=1表示液晶顯示器忙,暫時(shí)無(wú)法接收送來(lái)的數(shù)據(jù)或指令;

            當(dāng)BF=0時(shí),液晶顯示器可以接收送來(lái)的數(shù)據(jù)或指令;

            <2> 讀取地址計(jì)數(shù)器(AC)的內(nèi)容。

            10.數(shù)據(jù)寫(xiě)入DDRAM或CGRAM指令一覽

            

           

            功能:<1> 將字符碼寫(xiě)入DDRAM,以使液晶顯示屏顯示出相對(duì)應(yīng)的字符;

            <2> 將使用者自己設(shè)計(jì)的圖形存入CGRAM。

            11.從CGRAM或DDRAM讀出數(shù)據(jù)的指令一覽

            

           

            功能:讀取DDRAM或CGRAM中的內(nèi)容。

            基本操作時(shí)序:

            讀狀態(tài) 輸入:RS=L,RW=H,E=H 輸出:DB0~DB7=狀態(tài)字

            寫(xiě)指令 輸入:RS=L,RW=L,E=下降沿脈沖,DB0~DB7=指令碼 輸出:無(wú)

            讀數(shù)據(jù) 輸入:RS=H,RW=H,E=H 輸出:DB0~DB7=數(shù)據(jù)

            寫(xiě)數(shù)據(jù) 輸入:RS=H,RW=L,E=下降沿脈沖,DB0~DB7=數(shù)據(jù) 輸出:無(wú)

            看了那么多是不是有些暈?我也是啊,不過(guò)慢慢理解還是沒(méi)問(wèn)題的。

            實(shí)際上面說(shuō)了那么多具體怎么操作我還是沒(méi)會(huì)啊?好!咱就簡(jiǎn)單點(diǎn)。

            舉個(gè)實(shí)例,就在LCD1602屏幕上第一行第一列顯示個(gè)“A”字。

            1.先初始化。(老大!好像上面沒(méi)初始化這條指令啊!)

            先別拿東西扔我,說(shuō)明書(shū)上是這么說(shuō)的。也就先寫(xiě)入些指令。

            //先定義接口

            # include

            /*****************************************

            P1------DB0~DB7 P2.0------RS

            P2.1------RW

            P2.2------E

            *****************************************/

            # define LCD_DB P1

            sbit LCD_RS=P2^0;

            sbit LCD_RW=P2^1;

            sbit LCD_E=P2^2;

            /******定義函數(shù)****************/

            # define uchar unsigned char

            # define uint unsigned int

            void LCD_init(void);//初始化函數(shù)

            void LCD_write_command(uchar command);//寫(xiě)指令函數(shù)

            void LCD_write_data(uchar dat);//寫(xiě)數(shù)據(jù)函數(shù)

            void LCD_disp_char(uchar x,uchar y,uchar dat);//在某個(gè)屏幕位置上顯示一個(gè)字符,X(0-16),y(1-2)

            //void LCD_check_busy(void);//檢查忙函數(shù)。我沒(méi)用到此函數(shù),因?yàn)橥ㄟ^(guò)率極低。

            void delay_n40us(uint n);//延時(shí)函數(shù)

            //********************************

            //*******初始化函數(shù)***************

            void LCD_init(void)

            {

            LCD_write_command(0x38);//設(shè)置8位格式,2行,5x7

            LCD_write_command(0x0c);//整體顯示,關(guān)光標(biāo),不閃爍

            LCD_write_command(0x06);//設(shè)定輸入方式,增量不移位

            LCD_write_command(0x01);//清除屏幕顯示

            delay_n40us(100);//實(shí)踐證明,我的LCD1602上,用for循環(huán)200次就能可靠完成清屏指令。

            }

            //********************************

            //********寫(xiě)指令函數(shù)************

            void LCD_write_command(uchar dat)

            {

            LCD_DB=dat;

            LCD_RS=0;//指令

            LCD_RW=0;//寫(xiě)入

            LCD_E=1;//允許

            LCD_E=0;

            delay_n40us(1);//實(shí)踐證明,我的LCD1602上,用for循環(huán)1次就能完成普通寫(xiě)指令。

            }

            //*******************************

            //********寫(xiě)數(shù)據(jù)函數(shù)*************

            void LCD_write_data(uchar dat)

            {

            LCD_DB=dat;

            LCD_RS=1;//數(shù)據(jù)

            LCD_RW=0;//寫(xiě)入

            LCD_E=1;//允許

            LCD_E=0;

            delay_n40us(1);

            }

            //********************************

            //*******顯示一個(gè)字符函數(shù)*********

            void LCD_disp_char(uchar x,uchar y,uchar dat)

            {

            uchar address;

            if(y==1)

            address=0x80+x;

            else

            address=0xc0+x;

            LCD_write_command(address);

            LCD_write_data(dat);

            }

            //********************************

            /*******檢查忙函數(shù)*************

            void LCD_check_busy() //實(shí)踐證明,在我的LCD1602上,檢查忙指令通過(guò)率極低,以

            { //至于不能正常使用LCD。因此我沒(méi)有再用檢查忙函數(shù)。而使

            do //用了延時(shí)的方法,延時(shí)還是非常好用的。我試了一下,用

            { LCD_E=0; //for循環(huán)作延時(shí),普通指令只要1次循就可完成。清屏指令

            LCD_RS=0; //要用200次循環(huán)便能完成。

            LCD_RW=1;

            LCD_DB=0xff;

            LCD_E=1;

            }while(LCD_DB^7==1);

           ?。?/p>

            ******************************/

            //********延時(shí)函數(shù)***************

            void delay_n40us(uint n)

            { uint i;

            uchar j;

            for(i=n;i>0;i--)

            for(j=0;j<2;j++); //在這個(gè)延時(shí)循環(huán)函數(shù)中我只做了2次循環(huán),

            } //實(shí)踐證明我的LCD1602上普通的指令只需1次循環(huán)就能可靠完成。

            //*******************************

            //*********主函數(shù)*****************

            void main(void)

            {

            LCD_init();

            LCD_disp_char(0,1,"A");

            while(1);

            }

            //*******************************

            <版面有長(zhǎng)度限制,見(jiàn)下一期>。。。



          關(guān)鍵詞: 單片機(jī) LCD1602

          評(píng)論


          相關(guān)推薦

          技術(shù)專(zhuān)區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();