<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          msp430工作筆記3

          作者: 時(shí)間:2016-11-13 來源:網(wǎng)絡(luò) 收藏
          二,MSP430G2553的應(yīng)用設(shè)計(jì)

          (一),頻率計(jì)的設(shè)計(jì)

          本文引用地址:http://www.ex-cimer.com/article/201611/316300.htm

          1,頻率計(jì)的實(shí)現(xiàn)方法有:測頻法,測周法,等精度測頻。一般是低頻用測周法較準(zhǔn),高頻用測頻法較準(zhǔn)。等精度測頻是比較準(zhǔn)的。

          2,測周法:

          (1)可以使用定時(shí)器的輸入捕獲功能,捕獲上升沿或下降沿,然后就可以計(jì)算出信號的周期,從而得出頻率。

          (2)也可以把待測信號接到IO上,然后用無限循環(huán)不停的查詢電平的高低,從而得出信號的周期。丁老師建議:以丁老師的經(jīng)驗(yàn),這種方法測量的精度比用捕獲中斷的精度要高,因?yàn)橹袛嗟倪M(jìn)入和退出都要占用時(shí)間。

          (3)但這種側(cè)周法適用于低頻信號頻率的測量,對于高頻信號精度不好。

          3,測頻法:

          (1)可以定時(shí)一定的時(shí)間,然后計(jì)算捕獲脈沖的個(gè)數(shù),從而得出周期。

          (2)把待測信號接到IO腳上,然后用IO的中斷功能在一定時(shí)間內(nèi)記錄脈沖數(shù)。

          (3)設(shè)置Timer0_A的時(shí)鐘為外接時(shí)鐘TACLK,然后把待測信號接到該時(shí)鐘上,把Timer0用作計(jì)數(shù)器,在一定時(shí)間內(nèi)讀取TAR寄存器,得出脈沖個(gè)數(shù),從而得出頻率。

          (4)測頻法,使用與測高頻信號,對于低頻信號誤差較大。

          4,等精度測頻:

          (1)把Timer0_A工作于計(jì)數(shù)器模式,計(jì)數(shù)待測信號。然后把Timer1_A的時(shí)鐘設(shè)為ACLK,32768Hz的標(biāo)準(zhǔn)晶振,作為標(biāo)準(zhǔn)信號。然后再外部輸入一個(gè)控制閘門信號PWM(我覺得也可以用看門狗定時(shí)器工作在間隔定時(shí)器模式來控制),和待測信號一起通過D觸發(fā)器控制計(jì)數(shù)的開始和結(jié)束。這個(gè)外接的閘門信號可以用555振蕩器產(chǎn)生一個(gè)周期可調(diào)的PWM,這個(gè)PWM的周期不需要精確的控制,只有知道大概的范圍就可,保證計(jì)數(shù)器不溢出即可,最終測的精度和它的周期沒有絕對的關(guān)系。(也可以在計(jì)數(shù)器溢出時(shí),在溢出中斷中記錄溢出的次數(shù),這樣的話也可以,但是這樣中斷的進(jìn)入和退出會(huì)對測量精度產(chǎn)生影響)

          (2) 如果Timer0_A用于其他用途的話,也可以接一個(gè)計(jì)數(shù)器,然后把計(jì)數(shù)值在輸入給單片機(jī)(如小車上測速所采用的方法)。

          (3) 目前這個(gè)方案還在完善中,但初步試驗(yàn)表示,精度可以達(dá)到很高(10的-4以上)

          (二),DAC0832的使用

          1,DAC0832,我們是用在了AGC的電路中,電壓輸出受控關(guān)系為:Vref=Vin*code/256

          電路如下:

          其中0832工作于單緩沖模式,輸入寄存器受控,DAC寄存器直通

          一個(gè)基本的0832控制程序如下:

          #include <msp430g2553.h>


          #define uint unsigned int

          #define uchar unsigned char


          //dac0832 pin define0832工作于單緩沖模式,輸入寄存器受控,DAC寄存器直通

          #define CS_SET P2OUT |= BIT6

          #define CS_CLR P2OUT &= ~BIT6//P26 CS

          #define WR_SET P2OUT |= BIT7

          #define WR_CLR P2OUT &= ~BIT7//P27 WR


          #define DI P1OUT//DI


          //1延時(shí)

          //#define CPU_F ((double)16000000)//cpu frequency16000000

          #define CPU_F ((double)1000000)//cpu frequency1000000

          #define delay_us(x) __delay_cycles((long)(CPU_F*(double)x/1000000.0))

          #define delay_ms(x) __delay_cycles((long)(CPU_F*(double)x/1000.0))

          void write_dac(uint data)//dac寫數(shù)據(jù)函數(shù)

          {


          CS_CLR;

          DI = data;

          WR_CLR;

          delay_us(1);

          WR_SET;//latch data

          CS_SET;

          }


          void IO_init()

          {

          P1DIR = 0xff;

          P2DIR |= BIT6+BIT7;//把P26和P27配置為普通IO 并為輸出腳默認(rèn)為晶振的輸入和輸出引腳

          P2SEL &= ~(BIT6+BIT7);

          P2SEL2 &= ~(BIT6+BIT7);


          }


          void DCO_init()

          {

          BCSCTL1 = CALBC1_1MHZ;//設(shè)定cpu時(shí)鐘DCO頻率為16MHz

          DCOCTL = CALDCO_1MHZ;

          }


          void main(void)

          {

          // uint adc_data=0;

          WDTCTL = WDTPW + WDTHOLD;

          IO_init();

          DCO_init();

          write_dac(0xff);

          for(;;)

          {

          write_dac(0xff);

          delay_ms(1);

          write_dac(0xc0);

          delay_ms(1);

          write_dac(0x7f);

          delay_ms(1);

          write_dac(0x3f);

          delay_ms(1);

          write_dac(0x00);

          delay_ms(1);

          }


          }


          2,0832還可以用如波形發(fā)生,原理是想0832送入不同的code,會(huì)根據(jù)上面公式輸入不同的電壓,這樣控制不同的輸入code和方式的話,就可以得到不同的電壓波形輸出,我寫了一個(gè)程序如下:

          #include

          #include "ser_12864.h"


          //dac0832 pin define0832工作于單緩沖模式,輸入寄存器受控,DAC寄存器直通

          #define CS_SET P2OUT |= BIT6

          #define CS_CLR P2OUT &= ~BIT6//P26 CS

          #define WR_SET P2OUT |= BIT7

          #define WR_CLR P2OUT &= ~BIT7//P27 WR


          #define DI P1OUT//DI


          uint key=0;//按下的按鍵編號


          uchar s_step[]={"step"};

          uchar s_sin[] ={"sin"};

          uchar s_square[]={"square"};

          uchar s_saw[]={"saw"};

          uchar s_triangular[]={"triangular"};


          const uchar sin_a[256]={0x80,0x83,0x86,0x89,0x8c,0x8f,0x92,0x95,0x98,0x9c,//產(chǎn)生正弦波的數(shù)組

          0x9f,0xa2,0xa5,0xa8,0xab,0xae,0xb0,0xb3,0xb6,0xb9,0xbc,0xbf,0xc1,0xc4,0xc7,

          0xc9,0xcc,0xce,0xd1,0xd3,0xd5,0xd8,0xda,0xdc,0xde,0xe0,0xe2,0xe4,0xe6,0xe8,0xea,

          0xec,0xed,0xef,0xf0,0xf2,0xf3,0xf4,0xf6,0xf7,0xf8,0xf9,0xfa,0xfb,0xfc,0xfc,0xfd,

          0xfe,0xfe,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfe,0xfe,0xfd,

          0xfc,0xfc,0xfb,0xfa,0xf9,0xf8,0xf7,0xf6,0xf5,0xf3,0xf2,0xf0,0xef,0xed,0xec,0xea,

          0xe8,0xe6,0xe4,0xe3,0xe1,0xde,0xdc,0xda,0xd8,0xd6,0xd3,0xd1,0xce,0xcc,0xc9,0xc7,

          0xc4,0xc1,0xbf,0xbc,0xb9,0xb6,0xb4,0xb1,0xae,0xab,0xa8,0xa5,0xa2,0x9f,0x9c,0x99,

          0x96,0x92,0x8f,0x8c,0x89,0x86,0x83,0x80,0x7d,0x79,0x76,0x73,0x70,0x6d,0x6a,0x67,

          0x64,0x61,0x5e,0x5b,0x58,0x55,0x52,0x4f,0x4c,0x49,0x46,0x43,0x41,0x3e,0x3b,0x39,

          0x36,0x33,0x31,0x2e,0x2c,0x2a,0x27,0x25,0x23,0x21,0x1f,0x1d,0x1b,0x19,0x17,0x15,0x14,

          0x12,0x10,0xf,0xd,0xc,0xb,0x9,0x8,0x7,0x6,0x5,0x4,0x3,0x3,0x2,0x1,0x1,0x0,0x0,0x0,0x0,

          0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1,0x1,0x2,0x3,0x3,0x4,0x5,0x6,0x7,0x8,0x9,0xa,0xc,0xd,

          0xe,0x10,0x12,0x13,0x15,0x17,0x18,0x1a,0x1c,0x1e,0x20,0x23,0x25,0x27,0x29,0x2c,0x2e,

          0x30,0x33,0x35,0x38,0x3b,0x3d,0x40,0x43,0x46,0x48,0x4b,0x4e,0x51,0x54,0x57,0x5a,0x5d,

          0x60,0x63,0x66,0x69,0x6c,0x6f,0x73,0x76,0x79,0x7c};


          void IO_interrupt_init()//IO中斷初始化函數(shù)

          {

          P2REN |= BIT0+BIT1+BIT2+BIT5;// pullup 內(nèi)部上拉電阻使能

          //使用中斷時(shí),使能內(nèi)部的上拉電阻這樣當(dāng)該腳懸空是,電平不會(huì)跳變,防止懸空時(shí)電平跳變不停的觸發(fā)中斷

          P2OUT = BIT0+BIT1+BIT2+BIT5;// 當(dāng)引腳上的上拉或下拉電阻使能時(shí),PxOUT選擇是上拉還是下來

          //0:下拉,1:上拉


          P2IE |= BIT0+BIT1+BIT2+BIT5;// interrupt enabled P13中斷使能

          P2IES |= BIT0+BIT1+BIT2+BIT5;// Hi/lo edge下降沿中斷

          //P1IES &= ~BIT3;//上升沿觸發(fā)中斷

          P2IFG &= ~(BIT0+BIT1+BIT2+BIT5);//中斷標(biāo)志位清零


          }


          void write_dac(uchar data)//dac寫數(shù)據(jù)函數(shù)

          {


          CS_CLR;

          DI = data;

          WR_CLR;

          delay_us(1);

          WR_SET;//latch data

          CS_SET;

          }


          void saw()//鋸齒波產(chǎn)生函數(shù)

          {

          uchar i=0;

          for(i=0;i<255;i++)//0~255

          {

          write_dac(i);

          }

          }


          void triangular()//產(chǎn)生三角波的函數(shù)

          {

          uchar i=0;

          for(i=0;i<255;i++)

          {

          write_dac(i);

          }

          for(i=255;i>0;i--)

          {

          write_dac(i);

          }

          }


          void square()//產(chǎn)生方波函數(shù)

          {

          write_dac(0xff);

          delay_us(500);

          write_dac(0x00);

          delay_us(500);

          }


          void sin()//正弦波發(fā)生函數(shù)

          {

          uchar i;

          for(i=0;i<255;i++)

          {

          write_dac(sin_a[i]);

          }

          }


          void step()//階梯波發(fā)生函數(shù)

          {

          write_dac(0xff);

          delay_us(500);

          write_dac(0xc0);

          delay_us(500);

          write_dac(0x7f);

          delay_us(500);

          write_dac(0x3f);

          delay_us(500);

          write_dac(0x00);

          delay_us(500);

          }


          void main(void)

          {

          WDTCTL = WDTPW + WDTHOLD;// Stop WDT


          uchar s1[] ={"wave_shaper "};

          uchar s2[] ={"13_sin 14_square"};

          uchar s3[] ={"15_tri 16_saw"};

          uchar s4[] ={"key:"};

          BCSCTL1 = CALBC1_12MHZ;//設(shè)定CPU時(shí)鐘DCO頻率為12MHz

          DCOCTL = CALDCO_12MHZ;


          P2DIR |=BIT3+BIT4;//液晶的兩條線


          P1DIR = 0xff;//0832的數(shù)據(jù)位


          P2DIR |= BIT6+BIT7;//把P26和P27配置為普通IO 并為輸出腳默認(rèn)為晶振的輸入和輸出引腳 作為dac0832的

          P2SEL &= ~(BIT6+BIT7);//cs和wr控制端

          P2SEL2 &= ~(BIT6+BIT7);


          init_lcd();//初始化LCD


          IO_interrupt_init();


          wr_string(0,0,s1);//第一行第一個(gè)位置顯示s1

          wr_string(0,1,s2);//第二行第一個(gè)位置顯示s2

          wr_string(0,2,s3);//第三行第一個(gè)位置顯示s3

          wr_string(0,3,s4);//第四行第一個(gè)位置顯示s4


          wr_int(2,3,key);//顯示按鍵按下次數(shù)

          wr_string(5,3,s_step);


          _EINT();//enable interrupt


          for(;;)

          {

          if(key==13)

          {

          sin();

          }

          else if(key==14)

          {

          square();

          }

          else if(key==15)

          {

          triangular();

          }

          else if(key==16)

          {

          saw();

          }

          else

          {

          step();

          }


          }

          //_BIS_SR(LPM4_bits + GIE);// Enter LPM4 w/interrupt 進(jìn)入低功耗模式4


          }

          // Port 2 interrupt service routine

          #pragma vector=PORT2_VECTOR

          __interrupt void Port_1(void)

          {

          _DINT();//關(guān)中斷


          P2DIR &= ~(BIT0+BIT1+BIT2+BIT5); //在中斷設(shè)為輸入,用于消抖因?yàn)镮O腳默認(rèn)為輸入,所以這句話不要也行,但是

          //最好加上使程序清晰

          delay_ms(5);//延遲5ms,消抖延遲5ms 10ms都行

          if((P2IN&BIT0)==0)//如果為低,即按鍵真的按下了因?yàn)槭窍陆笛赜|發(fā)中斷,所以要檢測是否為低

          {

          key=13;

          wr_string(5,3,s_sin);

          }

          else if((P2IN&BIT1)==0)//如果P13為低,即按鍵真的按下了因?yàn)槭窍陆笛赜|發(fā)中斷,所以要檢測是否為低

          {

          key=14;

          wr_string(5,3,s_square);

          }

          else if((P2IN&BIT2)==0)//如果P13為低,即按鍵真的按下了因?yàn)槭窍陆笛赜|發(fā)中斷,所以要檢測是否為低

          {

          key=15;

          wr_string(5,3,s_triangular);

          }

          else if((P2IN&BIT5)==0)//如果P13為低,即按鍵真的按下了因?yàn)槭窍陆笛赜|發(fā)中斷,所以要檢測是否為低

          {

          key=16;

          wr_string(5,3,s_saw);

          }


          wr_int(2,3,key);//顯示按鍵按下次數(shù)


          P2IFG &= ~(BIT0+BIT1+BIT2+BIT5);// P1.3 IFG cleared軟件清除中斷標(biāo)志位

          _EINT();//開中斷

          }


          //由于按鍵較少,所以這里各種波形的各個(gè)參數(shù)都是提前設(shè)定好的,不能再設(shè)定,

          //應(yīng)該可以在IO中斷里利用中斷嵌套,再次檢測按鍵來設(shè)置參數(shù),頻率,占空比....,還沒有完善



          關(guān)鍵詞: msp430工作筆

          評論


          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();