<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 用STC89C51RC/RD+實(shí)現(xiàn)多串口

          用STC89C51RC/RD+實(shí)現(xiàn)多串口

          作者: 時(shí)間:2016-11-11 來源:網(wǎng)絡(luò) 收藏
          /* ----------------------- 版權(quán)聲明 ----------------------------------

          ------------------------------ 宏晶科技 2005/01/17 --------------------------
          -------------- Tel: 0755-82948409 Fax:0755-82944243 -------------------
          -------------- Mobile:13922805190 (姚永平) ----------------------------------
          ------ Website:www.mcu-memory.com Email:support@dsp-memory.com --------
          未經(jīng)深圳市宏晶科技書面同意, 不得將本程序泄露、公開給第三方。
          不得將本程序(或修改后的程序)使用在非深圳市宏晶科技銷售的產(chǎn)品上。
          客戶產(chǎn)品上使用本程序時(shí),客戶產(chǎn)品的源程序中必須注明使用了深圳市宏晶科技的程序,
          并保留如下內(nèi)容:
          ********************************************************************************
          ------------------------------ 宏晶科技 2005/01/09 --------------------------
          -------------- Tel: 0755-82948409 Fax:0755-82944243 -------------------
          -------------- Mobile:13922805190 (姚永平) ----------------------------------
          ------ Website:www.mcu-memory.com Email:support@dsp-memory.com --------
          ********************************************************************************
          --------------------本程序客戶須認(rèn)真消化,不提供技術(shù)支持---------------------- */

          本文引用地址:http://www.ex-cimer.com/article/201611/316968.htm

          /*************************************************************************
          用定時(shí)器 T0 或 T1 模擬串行口程序。
          最高波特率(12 clock):
          本程序收、發(fā)波特率相同。

          11.059MHz -- 最高波特率 收: 9600, 最低波特率:300
          30.000MHz -- 最高波特率 收: 28800 最低波特率:300
          40.000MHz -- 最高波特率 收: 38400 最低波特率:300
          ...
          使用說明:
          1. 本程序使用一個(gè)定時(shí)器和任意 2 個(gè) I/O 口模擬一個(gè)串行口。
          2. 1位起始位,8位數(shù)據(jù)位,1位停止位。發(fā)數(shù)據(jù)位時(shí)先發(fā)低位。
          3. 支持半雙工通訊。收、發(fā)波特率相同。
          4. 應(yīng)把定時(shí)器中斷優(yōu)先級(jí)設(shè)置為最高級(jí)。
          5. 本程序每接收一個(gè)字節(jié)后就把它放到一個(gè)隊(duì)列緩沖區(qū)中(也可使用環(huán)行緩沖區(qū)),
          待緩沖區(qū)滿后,將緩沖區(qū)中的內(nèi)容原樣發(fā)回。這是為了測(cè)試多字節(jié)連續(xù)收發(fā)的
          能力和簡(jiǎn)化程序。實(shí)際應(yīng)用中應(yīng)防止緩沖區(qū)溢出。
          6. 由接收轉(zhuǎn)換到發(fā)送時(shí)要先調(diào)用 soft_send_enable ();
          由發(fā)送轉(zhuǎn)換到接收時(shí)要先調(diào)用 soft_receive_enable ()。
          7. 發(fā)送最后一個(gè)字節(jié)后如果要立刻轉(zhuǎn)為接收,必須等待最后一個(gè)字節(jié)后發(fā)送完畢
          while ( rs_f_TI == 0) ; // 等待最后一個(gè)字節(jié)發(fā)送完畢
          **************************************************************************
          編程說明:
          ----------------
          發(fā)送:
          由接收轉(zhuǎn)換到發(fā)送時(shí)要先調(diào)用 soft_send_enable (), 它為發(fā)送做初始化的工作。
          以后就可以調(diào)用 rs_send_byte () 啟動(dòng)發(fā)送一個(gè)字節(jié)的過程。
          發(fā)送口平時(shí)為高電平,rs_send_byte ()函數(shù)使發(fā)送口變?yōu)榈碗娖介_始發(fā)送起始位;
          同時(shí)設(shè)置和啟動(dòng)定時(shí)器,為發(fā)送數(shù)據(jù)位在預(yù)定的時(shí)刻產(chǎn)生定時(shí)器中斷。發(fā)送數(shù)據(jù)位和
          停止位都在定時(shí)器的中斷服務(wù)程序中進(jìn)行。
          中斷服務(wù)程序中處理 4 種情況:發(fā)送數(shù)據(jù)位、發(fā)送停止位、發(fā)送完畢、處理錯(cuò)誤。
          ----------------
          接收:
          由發(fā)送轉(zhuǎn)換到接收時(shí)要先調(diào)用 soft_receive_enable (), 它為接收做初始化的工
          作。定時(shí)器以 3 到 4 倍波特率的頻率產(chǎn)生中斷(參見 rs_TEST0 的定義)檢測(cè) PC
          機(jī)發(fā)送的起始位。一旦檢測(cè)到起始位,立刻把定時(shí)器產(chǎn)生中斷的頻率調(diào)整到與波特率
          相同,準(zhǔn)備在下一個(gè)定時(shí)器中斷中接收第 1 個(gè)數(shù)據(jù)位。
          中斷服務(wù)程序中處理以下情況:
          1. 收到的是 PC 機(jī)發(fā)送的起始位: 調(diào)整定時(shí)器產(chǎn)生中斷的頻率與波特率相同。
          2. 收到第 8 位數(shù)據(jù)位: 存儲(chǔ)接收到的字節(jié)。
          3. 收到第 1--7 位數(shù)據(jù)位: 存儲(chǔ)到收、發(fā)移位暫存器。
          4. 收到停止位: 調(diào)用 soft_receive_enable(),檢測(cè) PC 機(jī)發(fā)出的下一個(gè)起始位。
          5. 處理出錯(cuò)的情況。
          **************************************************************************/

          #include
          sfr16DPTR = 0x82;

          typedefunsigned charINT8U;
          typedefunsigned intINT16U;

          #defineYES 1
          #defineNO 0

          //定義使用哪個(gè)定時(shí)器, 只可定義一個(gè)
          //#define TIMER_0
          #define TIMER_1

          //定義串口收、發(fā)送管腳。
          sbit rs_TXD = P2^1;
          sbit rs_RXD = P2^0;

          //根據(jù)定時(shí)器確定參數(shù)
          #ifdef TIMER_0
          #define TMOD_AND_WORD 0xF0;
          #define TMOD_TIME_MODE 0x01;
          #define TMOD_COUNT_MODE 0x05; //設(shè)置計(jì)數(shù)模式位
          sbit TCON_ENABLE_TIMER = TCON^4;
          sbit TCON_TFx = TCON^5; //中斷標(biāo)志位
          sbit IE_ETx = IE^1; //中斷允許位為 ET0
          sbit IP_PTx = IP^1; //中斷優(yōu)先級(jí)

          sfr rs_timerL = 0x8A; //TL0
          sfr rs_timerH = 0x8C; //TH0
          #endif

          #ifdef TIMER_1
          #define TMOD_AND_WORD 0x0F;
          #define TMOD_TIME_MODE 0x10;
          #define TMOD_COUNT_MODE 0x50; //設(shè)置計(jì)數(shù)模式位
          sbit TCON_ENABLE_TIMER = TCON^6; //
          sbit TCON_TFx = TCON^7; //中斷標(biāo)志位
          sbit IE_ETx = IE^3; //中斷允許位為 ET1
          sbit IP_PTx = IP^4; //中斷優(yōu)先級(jí)

          sfr rs_timerL = 0x8B; //TL1
          sfr rs_timerH = 0x8D; //TH1
          #endif

          INT8U bdata rs_BUF; //串行收、發(fā)時(shí)用的移位暫存器。
          sbitrs_BUF_bit7 = rs_BUF^7; //移位暫存器的最高位。
          INT8U rs_shift_count; //移位計(jì)數(shù)器。

          INT8Ubdata rsFlags;
          sbitrs_f_TI = rsFlags^0; //0:正在發(fā)送; 1: 一個(gè)字符完畢
          sbitrs_f_RI_enable= rsFlags^1; //0:禁止接收; 1:允許接收
          sbitrs_f_TI_enable= rsFlags^2; //0:禁止發(fā)送; 1:允許發(fā)送

          //選擇以下一個(gè)晶體頻率
          //#define Fosc 6000000 //6MHz
          #define Fosc 11059200 //11.059MHz
          //#define Fosc 12000000
          //#define Fosc 18432000
          //#define Fosc 20000000
          //#define Fosc 24000000
          //#define Fosc 30000000
          //#define Fosc 40000000

          //選擇以下一個(gè)波特率:
          //#efine Baud 300 //11.059MHz時(shí),baud 最低為 300
          //#define Baud 1200
          //#define Baud 2400
          //#define Baud 4800
          #define Baud 9600
          //#define Baud 14400
          //#define Baud 19200
          //#define Baud 28800
          //#define Baud 38400
          //#define Baud 57600

          //收、發(fā)一位所需定時(shí)器計(jì)數(shù)
          #define rs_FULL_BIT0 ((Fosc/12) / Baud)
          #define rs_FULL_BIT (65536 - rs_FULL_BIT0)
          #define rs_FULL_BIT_H rs_FULL_BIT >> 8 //收、發(fā)一位所需定時(shí)器計(jì)數(shù)高位
          #define rs_FULL_BIT_L (rs_FULL_BIT & 0x00FF) //收、發(fā)一位所需定時(shí)器計(jì)數(shù)低位

          //檢測(cè)起始位的時(shí)間間隔所需定時(shí)器計(jì)數(shù)
          #define rs_TEST0 rs_FULL_BIT0 / 4 //波特率較低時(shí)可以除以 3 或除以 2
          #define rs_TEST ((~rs_TEST0))
          #define rs_TEST_H rs_TEST >> 8 //高位
          #define rs_TEST_L rs_TEST & 0x00FF //低位

          //發(fā)送起始位所需定時(shí)器總計(jì)數(shù)
          #define rs_START_BIT 0xFFFF - (Fosc/12/Baud) + 0x28
          #define rs_START_BIT_H rs_START_BIT >> 8 //發(fā)送起始位所需定時(shí)器計(jì)數(shù)高位
          #define rs_START_BIT_L rs_START_BIT & 0x00FF //發(fā)送起始位所需定時(shí)器計(jì)數(shù)低位

          #define rs_RECEIVE_MAX 128 //最大接收長(zhǎng)度
          INT8Uidata rs232buffer[rs_RECEIVE_MAX]; //收、發(fā)緩沖區(qū)
          INT16UReceivePoint; //接收數(shù)據(jù)存儲(chǔ)指針

          void soft_rs232_interrupt( void );

          #ifdef TIMER_0
          void timer0 (void) interrupt 1 using 3
          {
          if (rs_RXD == 0 | rs_shift_count > 0)
          { soft_rs232_interrupt(); }
          else
          {
          rs_timerH = rs_TEST_H;
          rs_timerL = rs_TEST_L;
          }
          }
          #endif

          #ifdef TIMER_1
          void timer1 (void) interrupt 3 using 3
          {
          if (rs_RXD == 0 | rs_shift_count > 0)
          { soft_rs232_interrupt(); }
          else
          {
          rs_timerH = rs_TEST_H;
          rs_timerL = rs_TEST_L;
          }
          }
          #endif
          /***************************************/

          void soft_rs232_init (void) //串口初始化
          {
          TCON_ENABLE_TIMER = 0; //停止定時(shí)器
          TMOD &= TMOD_AND_WORD;
          TMOD |= TMOD_TIME_MODE;
          rs_RXD = 1; //接收腳置成高電平
          rs_TXD = 1; //發(fā)射腳置成高電平
          IP_PTx = 1; //置中斷優(yōu)先級(jí)為高
          IE_ETx = 1; //允許定時(shí)器中斷
          }

          void soft_receive_init() //監(jiān)測(cè)起始位
          {
          TCON_ENABLE_TIMER = 0; //停止定時(shí)器
          rs_timerH = rs_TEST_H;
          rs_timerL = rs_TEST_L;
          rs_shift_count = 0;
          TCON_ENABLE_TIMER = 1; //啟動(dòng)定時(shí)器
          }


          void soft_receive_enable() //允許接收
          {
          rs_f_RI_enable = 1; //允許接收
          rs_f_TI_enable = 0; //禁止發(fā)送
          soft_receive_init(); //監(jiān)測(cè)起始位, RXD 下降沿觸發(fā)接收字節(jié)過程.
          }

          void soft_send_enable (void) //允許發(fā)送
          {
          TCON_ENABLE_TIMER = 0; //停止定時(shí)器
          rs_f_TI_enable = 1; //允許發(fā)送
          rs_f_RI_enable = 0; //禁止接收

          rs_shift_count = 0; //清移位計(jì)數(shù)器
          rs_f_TI = 1; //發(fā)送一個(gè)字符完畢標(biāo)志
          TCON_ENABLE_TIMER = 1; //啟動(dòng)定時(shí)器
          }

          void soft_rs232_interrupt( void )
          {
          /************************ 接收 ****************************/
          if (rs_f_RI_enable == 1)
          {
          if (rs_shift_count == 0) //移位計(jì)數(shù)器==0, 表示檢測(cè)到起始位的起點(diǎn)
          {
          if ( rs_RXD == 1 )
          {
          soft_receive_enable (); //起始位錯(cuò), 從新開始
          }
          else
          {
          //下次中斷在數(shù)據(jù)位或停止位中的某時(shí)刻發(fā)生
          rs_timerL += rs_FULL_BIT_L + 0x10;
          rs_timerH = rs_FULL_BIT_H;
          rs_shift_count++;
          rs_BUF = 0; //清移位緩沖變量
          }
          }
          else
          {
          rs_timerL += rs_FULL_BIT_L; //下次中斷在數(shù)據(jù)位或停止位中發(fā)生
          rs_timerH = rs_FULL_BIT_H;

          rs_shift_count++; //2--9:數(shù)據(jù)位 10:停止位

          if ( rs_shift_count == 9)
          {
          rs_BUF = rs_BUF >> 1; //接收第8位
          rs_BUF_bit7 = rs_RXD;
          if( ReceivePoint < rs_RECEIVE_MAX)
          { //保存收到的字節(jié)
          rs232buffer[ReceivePoint++] = rs_BUF;
          }
          else
          {
          rs_f_RI_enable = 0; //緩沖區(qū)滿, 禁止接收
          }
          }
          else
          {
          if (rs_shift_count < 9 ) //收到的是數(shù)據(jù)位 1 -- 7
          {
          rs_BUF = rs_BUF >> 1;
          rs_BUF_bit7 = rs_RXD;
          }
          else
          { //收到停止位,繼續(xù)檢測(cè) PC 機(jī)發(fā)出的下一個(gè)起始位
          soft_receive_init();
          }
          }
          }
          TCON_TFx = 0; //清定時(shí)器中斷標(biāo)志
          }
          else
          {
          /************************ 發(fā)送 ****************************/
          if (rs_f_TI_enable == 1)
          {
          rs_timerL += rs_FULL_BIT_L;//下次中斷在數(shù)據(jù)位的末尾時(shí)刻
          rs_timerH = rs_FULL_BIT_H;

          rs_shift_count--; //0:停止位末尾時(shí)刻到
          //1:發(fā)送停止位
          //2--9:發(fā)送數(shù)據(jù)位
          if (rs_shift_count > 9) //錯(cuò)誤狀態(tài)
          {
          rs_shift_count = 9;
          rs_BUF = 0xFF;
          }

          if (rs_shift_count > 1) //2--9:發(fā)送數(shù)據(jù)位
          {
          ACC = rs_BUF;
          ACC = ACC >> 1;
          rs_TXD = CY;
          rs_BUF = ACC;
          }
          else
          {
          if (rs_shift_count == 0) //0:停止位末尾時(shí)刻到
          {
          rs_TXD = 1;
          rs_f_TI = 1; //已發(fā)送完畢一個(gè)字節(jié)
          }
          else
          {
          rs_TXD = 1; //1:發(fā)送停止位
          }
          }
          }
          }
          }

          //由收轉(zhuǎn)到發(fā)時(shí),要先調(diào)用 soft_send_enable ()
          void rs_send_byte(INT8U SendByte) //發(fā)送一個(gè)字節(jié)
          {
          while ( rs_f_TI == 0); //等待發(fā)送完畢前一個(gè)字節(jié)
          rs_TXD = 1;
          rs_timerL = rs_START_BIT_L; //下次中斷在起始位的末尾時(shí)刻
          rs_timerH = rs_START_BIT_H;
          rs_BUF = SendByte;
          rs_shift_count = 10;
          rs_TXD = 0; //發(fā)送起始位
          rs_f_TI = 0; //清已發(fā)送完畢一個(gè)字節(jié)的標(biāo)志
          }

          void initiate_MCU (void) //系統(tǒng)初始化
          {
          soft_rs232_init(); //串口初始化
          EA = 1; //開中斷
          }

          void main (void)
          {
          //首先發(fā)送 128 個(gè)字節(jié) 00H--7FH, 然后等待 PC 機(jī)發(fā)送的數(shù)據(jù)。當(dāng)收到 128
          //個(gè)字節(jié)后,立刻將收到的 128 個(gè)數(shù)據(jù)回發(fā)送給 PC 機(jī),然后繼續(xù)等待下一個(gè)
          //數(shù)據(jù)塊。

          INT8U i;
          initiate_MCU(); //系統(tǒng)初始化

          soft_send_enable (); //允許發(fā)送,禁止接收
          for (i=0; i < rs_RECEIVE_MAX; i++ )
          {
          rs_send_byte(i);
          }
          while ( rs_f_TI == 0) ; // 等待最后一個(gè)字節(jié)發(fā)送完畢

          while(1)
          {
          soft_receive_enable (); //啟動(dòng)并開始接收,禁止發(fā)送
          while (ReceivePoint < rs_RECEIVE_MAX); // 等待接收緩沖區(qū)滿

          soft_send_enable (); //允許發(fā)送,禁止接收
          for (i=0; i < rs_RECEIVE_MAX; i++ )
          {
          rs_send_byte(rs232buffer[i]);
          }
          while ( rs_f_TI == 0) ; //等待最后一個(gè)字節(jié)發(fā)送完畢
          ReceivePoint = 0;
          }
          }



          關(guān)鍵詞: STC89C51R多串

          評(píng)論


          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();