<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 數(shù)碼管時(shí)鐘電路的設(shè)計(jì)

          數(shù)碼管時(shí)鐘電路的設(shè)計(jì)

          作者: 時(shí)間:2016-11-10 來源:網(wǎng)絡(luò) 收藏
          LED數(shù)碼管時(shí)鐘電路采用24h計(jì)時(shí)方式,時(shí)、分、秒用六位數(shù)碼管顯示。該電路采用AT89C2051單片機(jī),使用3V電池供電,只使用一個(gè)按鍵開關(guān)即可進(jìn)入調(diào)、省電(不顯示LED數(shù)碼管)和正常顯示三種狀態(tài)。

          1.時(shí)鐘電路硬件設(shè)計(jì)

          本文引用地址:http://www.ex-cimer.com/article/201611/317333.htm

          路如下所示,其采用AT89C2051單片機(jī)最小化應(yīng)用設(shè)計(jì),LED顯示采用動態(tài)掃描方式實(shí)現(xiàn),P1口輸出端碼數(shù)據(jù),P3.0~P3.5口作掃描輸出,P3.7接按鈕開關(guān)。為了提供LED數(shù)碼管的驅(qū)動電流,用三極管9012作電源驅(qū)動輸出。為了提高秒計(jì)時(shí)的精確性,采用12MHz晶振。

          2.系統(tǒng)主要程序的設(shè)計(jì)

          (1)主程序

          本設(shè)計(jì)中的計(jì)時(shí)采用定時(shí)器T0中斷完成,其余狀態(tài)循環(huán)調(diào)用顯示子程序,當(dāng)P3.7端口開關(guān)按下時(shí),轉(zhuǎn)入調(diào)時(shí)功能程序。

          (2)顯示子程序

          數(shù)碼管顯示的數(shù)據(jù)存放在內(nèi)存單元70H~75H中,其中70H~71H存入秒數(shù)據(jù),72H~73H存放分?jǐn)?shù)據(jù),74H~75H存放時(shí)數(shù)據(jù),每一地址單元內(nèi)均為十進(jìn)制BCD碼。由于采用軟件動態(tài)掃描實(shí)現(xiàn)數(shù)據(jù)顯示功能,顯示用十進(jìn)制BCD碼數(shù)據(jù)的對應(yīng)段碼存放在ROM表中。顯示時(shí),先取出70H~75H某一地址中的數(shù)據(jù),然后查得對應(yīng)的顯示用段碼從P1口輸出。P3口對應(yīng)的數(shù)碼管選中,就能顯示該地址單元的數(shù)據(jù)值。

          (3)定時(shí)器T0中斷服務(wù)程序

          定時(shí)器T0用于時(shí)間計(jì)時(shí)。定時(shí)溢出中斷周期設(shè)為50ms,中斷累計(jì)20次(即1s)時(shí)對秒計(jì)數(shù)單元進(jìn)行加1操作。時(shí)間計(jì)數(shù)單元地址分別在70H~71H(s)、76H~77H(min)、78H~79H(h),7AH單元內(nèi)存放“熄滅碼”數(shù)據(jù)(#0AH)。在計(jì)數(shù)單元中采用十進(jìn)制BCD碼計(jì)數(shù),滿60進(jìn)制。

          (4)T1中斷服務(wù)程序

          T1中斷服務(wù)程序用于指示調(diào)整單元數(shù)字的亮滅。在時(shí)間調(diào)整狀態(tài)下,每過0.3s,將對應(yīng)單元的顯示數(shù)據(jù)換成“熄滅符”數(shù)據(jù)(0AH)。這樣,在調(diào)整時(shí)間時(shí),對應(yīng)調(diào)整單元的顯示數(shù)據(jù)就會間隔閃爍。

          (5)調(diào)整功能程序

          調(diào)時(shí)功能程序的設(shè)計(jì)方法是:按下按鍵,若按下時(shí)間小于1s,進(jìn)入省電狀態(tài)(數(shù)碼管不亮,時(shí)鐘不停),否則進(jìn)入調(diào)整分狀態(tài),等待操作,此時(shí)計(jì)時(shí)器停止走動。當(dāng)再次按下按鈕時(shí),若按下時(shí)間小于0.5s,則時(shí)間加1min;若按下時(shí)間大于0.5s,則進(jìn)入小時(shí)調(diào)整狀態(tài)。在小時(shí)調(diào)整狀態(tài)下,當(dāng)按鍵按下的時(shí)間大于0.5s時(shí)退出調(diào)整狀態(tài),時(shí)鐘繼續(xù)走動。

          原理圖:

          實(shí)物圖:

          附:源代碼


          ;************* 數(shù)碼管電子時(shí)鐘 *******************;
          ;*MCU: AT892051 ;
          ;*MCU-crystal: 12M ;
          ;*Version: 01 ;
          ;*Last Updata: 2007-6-13 ;
          ;*Author: zhaojun ;
          ;*Description: ;
          ;定時(shí)器T0、T1溢出周期為50MS,T0為秒計(jì)數(shù)用 ;
          ;T1為調(diào)整時(shí)閃爍用 ;
          ;P3.7為調(diào)整按鈕,P1口為字符輸出口,采用共陽顯示管 ;
          ;************************************************;

          ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
          ;; AT89C2051時(shí)鐘程序 ;;
          ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;

          ;
          ;
          ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
          ;; 中斷入口程序 ;;
          ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
          ;
          ORG 0000H ;程序執(zhí)行開始地址
          LJMP START ;跳到標(biāo)號START執(zhí)行
          ORG 0003H ;外中斷0中斷程序入口
          RETI ;外中斷0中斷返回
          ORG 000BH ;定時(shí)器T0中斷程序入口
          LJMP INTT0 ;跳至INTTO執(zhí)行
          ORG 0013H ;外中斷1中斷程序入口
          RETI ;外中斷1中斷返回
          ORG 001BH ;定時(shí)器T1中斷程序入口
          LJMP INTT1 ;跳至INTT1執(zhí)行
          ORG 0023H ;串行中斷程序入口地址
          RETI ;串行中斷程序返回
          ;
          ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
          ;; 主 程 序 ;;
          ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
          ;
          START: MOV R0,#70H ;清70H-7AH共11個(gè)內(nèi)存單元
          MOV R7,#0BH ;
          CLEARDISP: MOV @R0,#00H ;
          INC R0 ;
          DJNZ R7,CLEARDISP ;
          MOV 20H,#00H ;清20H(標(biāo)志用)
          MOV 7AH,#0AH ;放入"熄滅符"數(shù)據(jù)
          MOV TMOD,#11H ;設(shè)T0、T1為16位定時(shí)器
          MOV TL0,#0B0H ;50MS定時(shí)初值(T0計(jì)時(shí)用)
          MOV TH0,#3CH ;50MS定時(shí)初值
          MOV TL1,#0B0H ;50MS定時(shí)初值(T1閃爍定時(shí)用)
          MOV TH1,#3CH ;50MS定時(shí)初值
          SETB EA ;總中斷開放
          SETB ET0 ;允許T0中斷
          SETB TR0 ;開啟T0定時(shí)器
          MOV R4,#14H ;1秒定時(shí)用初值(50MS×20)
          START1: LCALL DISPLAY ;調(diào)用顯示子程序
          JNB P3.7,SETMM1 ;P3.7口為0時(shí)轉(zhuǎn)時(shí)間調(diào)整程序
          SJMP START1 ;P3.7口為1時(shí)跳回START1
          SETMM1: LJMP SETMM ;轉(zhuǎn)到時(shí)間調(diào)整程序SETMM
          ;
          ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
          ;; 1秒計(jì)時(shí)程序 ;;
          ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
          ;T0中斷服務(wù)程序
          INTT0: PUSH ACC ;累加器入棧保護(hù)
          PUSH PSW ;狀態(tài)字入棧保護(hù)
          CLR ET0 ;關(guān)T0中斷允許
          CLR TR0 ;關(guān)閉定時(shí)器T0
          MOV A,#0B7H ;中斷響應(yīng)時(shí)間同步修正
          ADD A,TL0 ;低8位初值修正
          MOV TL0,A ;重裝初值(低8位修正值)
          MOV A,#3CH ;高8位初值修正
          ADDC A,TH0 ;
          MOV TH0,A ;重裝初值(高8位修正值)
          SETB TR0 ;開啟定時(shí)器T0
          DJNZ R4, OUTT0 ;20次中斷未到中斷退出
          ADDSS: MOV R4,#14H ;20次中斷到(1秒)重賦初值
          MOV R0,#71H ;指向秒計(jì)時(shí)單元(71H-72H)
          ACALL ADD1 ;調(diào)用加1程序(加1秒操作)
          MOV A,R3 ;秒數(shù)據(jù)放入A(R3為2位十進(jìn)制數(shù)組合)
          CLR C ;清進(jìn)位標(biāo)志
          CJNE A,#60H,ADDMM ;
          ADDMM: JC OUTT0 ;小于60秒時(shí)中斷退出
          ACALL CLR0 ;大于或等于60秒時(shí)對秒計(jì)時(shí)單元清0
          MOV R0,#77H ;指向分計(jì)時(shí)單元(76H-77H)
          ACALL ADD1 ;分計(jì)時(shí)單元加1分鐘
          MOV A,R3 ;分?jǐn)?shù)據(jù)放入A
          CLR C ;清進(jìn)位標(biāo)志
          CJNE A,#60H,ADDHH ;
          ADDHH: JC OUTT0 ;小于60分時(shí)中斷退出
          ACALL CLR0 ;大于或等于60分時(shí)分計(jì)時(shí)單元清0
          MOV R0,#79H ;指向小時(shí)計(jì)時(shí)單元(78H-79H)
          ACALL ADD1 ;小時(shí)計(jì)時(shí)單元加1小時(shí)
          MOV A,R3 ;時(shí)數(shù)據(jù)放入A
          CLR C ;清進(jìn)位標(biāo)志
          CJNE A,#24H,HOUR ;
          HOUR: JC OUTT0 ;小于24小時(shí)中斷退出
          ACALL CLR0 ;大于或等于24小時(shí)小時(shí)計(jì)時(shí)單元清0
          OUTT0: MOV 72H,76H ;中斷退出時(shí)將分、時(shí)計(jì)時(shí)單元數(shù)據(jù)移
          MOV 73H,77H ;入對應(yīng)顯示單元
          MOV 74H,78H ;
          MOV 75H,79H ;
          POP PSW ;恢復(fù)狀態(tài)字(出棧)
          POP ACC ;恢復(fù)累加器
          SETB ET0 ;開放T0中斷
          RETI ;中斷返回
          ;
          ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
          ;; 閃動調(diào)時(shí) 程 序 ;;
          ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
          ;T1中斷服務(wù)程序,用作時(shí)間調(diào)整時(shí)調(diào)整單元閃爍指示
          INTT1: PUSH ACC ;中斷現(xiàn)場保護(hù)
          PUSH PSW ;
          MOV TL1,#0B0H ;裝定時(shí)器T1定時(shí)初值
          MOV TH1,#3CH ;
          DJNZ R2,INTT1OUT ;0.3秒未到退出中斷(50MS中斷6次)
          MOV R2,#06H ;重裝0.3秒定時(shí)用初值
          CPL 02H ;0.3秒定時(shí)到對閃爍標(biāo)志取反
          JB 02H,FLASH1 ;02H位為1時(shí)顯示單元"熄滅"
          MOV 72H,76H ;02H位為0時(shí)正常顯示
          MOV 73H,77H ;
          MOV 74H,78H ;
          MOV 75H,79H ;
          INTT1OUT: POP PSW ;恢復(fù)現(xiàn)場
          POP ACC ;
          RETI ;中斷退出
          FLASH1: JB 01H,FLASH2 ;01H位為1時(shí),轉(zhuǎn)小時(shí)熄滅控制
          MOV 72H,7AH ;01H位為0時(shí),"熄滅符"數(shù)據(jù)放入分
          MOV 73H,7AH ;顯示單元(72H-73H),將不顯示分?jǐn)?shù)據(jù)
          MOV 74H,78H ;
          MOV 75H,79H ;
          AJMP INTT1OUT ;轉(zhuǎn)中斷退出
          FLASH2: MOV 72H,76H ;01H位為1時(shí),"熄滅符"數(shù)據(jù)放入小時(shí)
          MOV 73H,77H ;顯示單元(74H-75H),小時(shí)數(shù)據(jù)將不顯示
          MOV 74H,7AH ;
          MOV 75H,7AH ;
          AJMP INTT1OUT ;轉(zhuǎn)中斷退出
          ;
          ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
          ;; 加1子 程 序 ;;
          ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
          ;
          ADD1: MOV A,@R0 ;取當(dāng)前計(jì)時(shí)單元數(shù)據(jù)到A
          DEC R0 ;指向前一地址
          SWAP A ;A中數(shù)據(jù)高四位與低四位交換
          ORL A,@R0 ;前一地址中數(shù)據(jù)放入A中低四位
          ADD A,#01H ;A加1操作
          DA A ;十進(jìn)制調(diào)整
          MOV R3,A ;移入R3寄存器
          ANL A,#0FH ;高四位變0
          MOV @R0,A ;放回前一地址單元
          MOV A,R3 ;取回R3中暫存數(shù)據(jù)
          INC R0 ;指向當(dāng)前地址單元
          SWAP A ;A中數(shù)據(jù)高四位與低四位交換
          ANL A,#0FH ;高四位變0
          MOV @R0,A ;數(shù)據(jù)放入當(dāng)削地址單元中
          RET ;子程序返回
          ;
          ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
          ;; 清零程序 ;;
          ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
          ;對計(jì)時(shí)單元復(fù)零用
          CLR0: CLR A ;清累加器
          MOV @R0,A ;清當(dāng)前地址單元
          DEC R0 ;指向前一地址
          MOV @R0,A ;前一地址單元清0
          RET ;子程序返回
          ;
          ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
          ;; 時(shí)鐘調(diào)整程序 ;;
          ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
          ;當(dāng)調(diào)時(shí)按鍵按下時(shí)進(jìn)入此程序
          SETMM: CLR ET0 ;關(guān)定時(shí)器T0中斷
          CLR TR0 ;關(guān)閉定時(shí)器T0
          LCALL DL1S ;調(diào)用1秒延時(shí)程序
          JB P3.7,CLOSEDIS;鍵按下時(shí)間小于1秒,關(guān)閉顯示(省電)
          MOV R2,#06H ;進(jìn)入調(diào)時(shí)狀態(tài),賦閃爍定時(shí)初值
          SETB ET1 ;允許T1中斷
          SETB TR1 ;開啟定時(shí)器T1
          SET2: JNB P3.7,SET1 ;P3.7口為0(鍵未釋放),等待
          SETB 00H ;鍵釋放,分調(diào)整閃爍標(biāo)志置1
          SET4: JB P3.7,SET3 ;等待鍵按下
          LCALL DL05S ;有鍵按下,延時(shí)0.5秒
          JNB P3.7,SETHH ;按下時(shí)間大于0.5秒轉(zhuǎn)調(diào)小時(shí)狀態(tài)
          MOV R0,#77H ;按下時(shí)間小于0.5秒加1分鐘操作
          LCALL ADD1 ;調(diào)用加1子程序
          MOV A,R3 ;取調(diào)整單元數(shù)據(jù)
          CLR C ;清進(jìn)位標(biāo)志
          CJNE A,#60H,HHH ;調(diào)整單元數(shù)據(jù)與60比較
          HHH: JC SET4 ;調(diào)整單元數(shù)據(jù)小于60轉(zhuǎn)SET4循環(huán)
          LCALL CLR0 ;調(diào)整單元數(shù)據(jù)大于或等于60時(shí)清0
          CLR C ;清進(jìn)位標(biāo)志
          AJMP SET4 ;跳轉(zhuǎn)到SET4循環(huán)
          CLOSEDIS: SETB ET0 ;省電(LED不顯示)狀態(tài)。開T0中斷
          SETB TR0 ;開啟T0定時(shí)器(開時(shí)鐘)
          CLOSE: JB P3.7,CLOSE ;無按鍵按下,等待。
          LCALL DISPLAY ;有鍵按下,調(diào)顯示子程序延時(shí)削抖
          JB P3.7,CLOSE ;是干擾返回CLOSE等待
          WAITH: JNB P3.7,WAITH ;等待鍵釋放
          LJMP START1 ;返回主程序(LED數(shù)據(jù)顯示亮)
          SETHH: CLR 00H ;分閃爍標(biāo)志清除(進(jìn)入調(diào)小時(shí)狀態(tài))
          SETHH1: JNB P3.7,SET5 ;等待鍵釋放
          SETB 01H ;小時(shí)調(diào)整標(biāo)志置1
          SET6: JB P3.7,SET7 ;等待按鍵按下
          LCALL DL05S ;有鍵按下延時(shí)0.5秒
          JNB P3.7,SETOUT ;按下時(shí)間大于0.5秒退出時(shí)間調(diào)整
          MOV R0,#79H ;按下時(shí)間小于0.5秒加1小時(shí)操作
          LCALL ADD1 ;調(diào)加1子程序
          MOV A,R3 ;
          CLR C ;
          CJNE A,#24H,HOUU ;計(jì)時(shí)單元數(shù)據(jù)與24比較
          HOUU: JC SET6 ;小于24轉(zhuǎn)SET6循環(huán)
          LCALL CLR0 ;大于或等于24時(shí)清0操作
          AJMP SET6 ;跳轉(zhuǎn)到SET6循環(huán)
          SETOUT: JNB P3.7,SETOUT1 ;調(diào)時(shí)退出程序。等待鍵釋放
          LCALL DISPLAY ;延時(shí)削抖
          JNB P3.7,SETOUT ;是抖動,返回SETOUT再等待
          CLR 01H ;清調(diào)小時(shí)標(biāo)志
          CLR 00H ;清調(diào)分標(biāo)志
          CLR 02H ;清閃爍標(biāo)志
          CLR TR1 ;關(guān)閉定時(shí)器T1
          CLR ET1 ;關(guān)定時(shí)器T1中斷
          SETB TR0 ;開啟定時(shí)器T0
          SETB ET0 ;開定時(shí)器T0中斷(計(jì)時(shí)開始)
          LJMP START1 ;跳回主程序
          SET1: LCALL DISPLAY ;鍵釋放等待時(shí)調(diào)用顯示程序(調(diào)分)
          AJMP SET2 ;防止鍵按下時(shí)無時(shí)鐘顯示
          SET3: LCALL DISPLAY ;等待調(diào)分按鍵時(shí)時(shí)鐘顯示用
          AJMP SET4
          SET5: LCALL DISPLAY ;鍵釋放等待時(shí)調(diào)用顯示程序(調(diào)小時(shí))
          AJMP SETHH1 ;防止鍵按下時(shí)無時(shí)鐘顯示
          SET7: LCALL DISPLAY ;等待調(diào)小時(shí)按鍵時(shí)時(shí)鐘顯示用
          AJMP SET6
          SETOUT1: LCALL DISPLAY ;退出時(shí)鐘調(diào)整時(shí)鍵釋放等待
          AJMP SETOUT ;防止鍵按下時(shí)無時(shí)鐘顯示
          ;
          ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
          ;; 顯示程序 ;;
          ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
          ; 顯示數(shù)據(jù)在70H-75H單元內(nèi),用六位LED共陽數(shù)碼管顯示,P1口輸出段碼數(shù)據(jù),P3口作
          ; 掃描控制,每個(gè)LED數(shù)碼管亮1MS時(shí)間再逐位循環(huán)。
          DISPLAY: MOV R1,#70H ;指向顯示數(shù)據(jù)首址
          MOV R5,#0FEH ;掃描控制字初值
          PLAY: MOV A,R5 ;掃描字放入A
          MOV P3,A ;從P3口輸出
          MOV A,@R1 ;取顯示數(shù)據(jù)到A
          MOV DPTR,#TAB ;取段碼表地址
          MOVC A,@A+DPTR ;查顯示數(shù)據(jù)對應(yīng)段碼
          MOV P1,A ;段碼放入P1口
          LCALL DL1MS ;顯示1MS
          INC R1 ;指向下一地址
          MOV A,R5 ;掃描控制字放入A
          JNB ACC.5,ENDOUT ;ACC.5=0時(shí)一次顯示結(jié)束
          RL A ;A中數(shù)據(jù)循環(huán)左移
          MOV R5,A ;放回R5內(nèi)
          AJMP PLAY ;跳回PLAY循環(huán)
          ENDOUT: SETB P3.5 ;一次顯示結(jié)束,P3口復(fù)位
          MOV P1,#0FFH ;P1口復(fù)位
          RET ;子程序返回
          TAB: DB 0C0H,0F9H,0A4H,0B0H,099H,092H,082H,0F8H,080H,090H,0FFH
          ;共陽段碼表 "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "不亮"
          ;
          ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
          ;; 延時(shí)程序 ;;
          ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
          ;
          ;1MS延時(shí)程序,LED顯示程序用
          DL1MS: MOV R6,#14H
          DL1: MOV R7,#19H
          DL2: DJNZ R7,DL2
          DJNZ R6,DL1
          RET
          ;20MS延時(shí)程序,采用調(diào)用顯示子程序以改善LED的顯示閃爍現(xiàn)象
          DS20MS: ACALL DISPLAY
          ACALL DISPLAY
          ACALL DISPLAY
          RET
          ;延時(shí)程序,用作按鍵時(shí)間的長短判斷
          DL1S: LCALL DL05S
          LCALL DL05S
          RET
          DL05S: MOV R3,#20H ;8毫秒*32=0.196秒
          DL05S1: LCALL DISPLAY
          DJNZ R3,DL05S1
          RET
          ;
          END ;程序結(jié)束

          =====================================================================

          /************** 數(shù)碼管時(shí)鐘的設(shè)計(jì) *******************
          /* MCU: AT89C51
          /* MCU-crystal: 12M
          /* Version: 01
          /* Last Updata: 2007-9-15
          /* Author: zhaojun
          /* Description:
          /* 定時(shí)器T0、T1溢出周期為50MS,T0為秒計(jì)數(shù)用,
          /* T1為調(diào)整時(shí)閃爍用,P1.7為調(diào)整按鈕,P0口為字符輸出口,
          /* P2口為位選,采用共陽顯示管.
          /****************************************************/
          #include"reg51.h"
          #define uchar unsigned char
          #define uint unsigned int
          #define scan_data P0 //顯示數(shù)據(jù)輸出端口
          #define bit_select P2 //位選端口
          char code dis_7[12]={0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8,0x80,0x90,0xFF,0xBF};
          /* 共陽LED段碼表 "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" "滅" "-" */
          char code scan_con[8]={0x7F,0xBF,0xDF,0xEF,0xF7,0xFB,0xFD,0xFE};
          // 列掃描控制字
          char data time_data[8]={0x00,0x00,0x0B,0x00,0x00,0x0B,0x00,0x00};
          // 計(jì)時(shí)單元數(shù)據(jù)初值 秒個(gè) 秒十 - 分個(gè) 分十 - 時(shí)個(gè) 時(shí)十
          char data dis[12]={0x00,0x00,0x0B,0x00,0x00,0x0B,0x00,0x00,0x0a,0x0a,0x00,0x00};
          // 顯示單元數(shù)據(jù) X X - X X - X X "滅" "滅" x x
          char data con_04s=0x00,con_1s=0x00,con=0x00;
          sbit set=P1^4;
          bit flag,sign;
          void scan();
          /***************************************************
          * 函 數(shù) 名:delay_1ms(),delay_500ms(),delay_1s()
          * 功能描述:用以判斷按鍵的長短,從而進(jìn)入不同的設(shè)置
          * 函數(shù)說明:500ms,1s程序變不精確,因嵌入了顯示程序
          * 調(diào)用函數(shù):scan()顯示程序
          * 全局變量:無
          * 輸 入 值:無
          * 輸 出 值:無
          * 返 回 值:無
          * 設(shè) 計(jì) 者:zhaojun 日期:2007-9-15
          * 修 改 者:zhaojun 日期:2007-9-19
          * 版 本:1.0
          *****************************************************/
          // 1ms 延時(shí)程序
          void delay_1ms(uchar t)
          {
          uchar i,j;
          for(i=0;i for(j=0;j<120;j++)
          ;
          }
          // 500ms延時(shí)子程序
          void delay_500ms(void)
          {
          uchar i;
          for(i=150;i>0;i--)
          scan();
          }
          // 1s延時(shí)子程序
          void delay_1s(void)
          {
          uchar h,i;
          for(h=5;h>0;h--)
          for(i=40;i>0;i--)
          scan();
          }
          /***************************************************
          * 函 數(shù) 名:scan()
          * 功能描述:顯示時(shí)鐘數(shù)據(jù)
          * 函數(shù)說明:時(shí)鐘顯示格式為:xx-xx-xx
          * 調(diào)用函數(shù):delay_1ms()
          * 全局變量:無
          * 輸 入 值:無
          * 輸 出 值:無
          * 返 回 值:無
          * 設(shè) 計(jì) 者:zhaojun 日期:2007-9-15
          * 修 改 者:zhaojun 日期:2007-9-19
          * 版 本:1.0
          *****************************************************/
          // 顯示子程序
          void scan(void)
          {
          uchar k;
          for(k=0;k<8;k++)
          {
          scan_data=dis_7[dis[k]];
          bit_select=scan_con[k];
          delay_1ms(1);
          bit_select=0xFF;
          }
          }
          /***************************************************
          * 函 數(shù) 名:add_data()
          * 功能描述:對時(shí)、分?jǐn)?shù)據(jù)進(jìn)行加
          * 函數(shù)說明:flag=0時(shí)判斷分鐘,flag=1時(shí)判斷小時(shí)
          * 調(diào)用函數(shù):無
          * 全局變量:無
          * 輸 入 值:無
          * 輸 出 值:無
          * 返 回 值:無
          * 設(shè) 計(jì) 者:zhaojun 日期:2007-9-15
          * 修 改 者:zhaojun 日期:2007-9-19
          * 版 本:1.0
          *****************************************************/
          void add_data(void)
          {
          uchar i;
          time_data[con]++; //時(shí)間數(shù)據(jù)加1
          if(time_data[con]>=10) //個(gè)位數(shù)據(jù)等于10,則個(gè)位清零,十位加1
          {
          time_data[con]=0;time_data[con+1]++;
          }
          if(flag==0) //如果是分鐘數(shù)據(jù),判斷十位是否到6時(shí),清零
          {
          if(time_data[con+1]>=6)
          {
          time_data[con]=0;time_data[con+1]=0;
          }
          }
          if(flag==1) //如果是小時(shí)數(shù)據(jù),判斷是否為24時(shí),清零
          {
          if(time_data[con+1]==2)
          {
          if(time_data[con]>=4)
          {
          time_data[con]=0;time_data[con+1]=0;
          }
          }
          }

          for(i=0;i<8;i++) //把調(diào)節(jié)數(shù)據(jù)放入顯示區(qū)
          {
          dis[i]=time_data[i];
          }
          dis[8]=0x0a;dis[9]=0x0a; //寄存器中存入熄滅符
          }
          /***************************************************
          * 函 數(shù) 名:keyscan()
          * 功能描述:對一個(gè)按鍵進(jìn)行時(shí)間掃描
          * 函數(shù)說明:對按鍵長短進(jìn)行比較,從而進(jìn)行不同的設(shè)置
          * 調(diào)用函數(shù):delay_1s(),scan(),delay_500ms()
          * 全局變量:sign,flag
          * 輸 入 值:無
          * 輸 出 值:無
          * 返 回 值:無
          * 設(shè) 計(jì) 者:zhaojun 日期:2007-9-15
          * 修 改 者:zhaojun 日期:2007-9-19
          * 版 本:1.0
          *****************************************************/
          void keyscan(void)
          {
          if(set==0) //有鍵按下,判斷按鍵時(shí)間
          {
          delay_1s(); //1s延時(shí)程序
          if(set==0) //大于1s,進(jìn)入時(shí)間設(shè)置
          {
          ET0=0; TR0=0; //關(guān)定時(shí)器0
          while(set==0) scan(); //等鍵釋放
          ET1=1;TR1=1;con=3; //開定時(shí)器1,讓調(diào)整位閃爍
          do
          {
          while(set!=0) scan(); //等待按鍵
          delay_500ms();
          if(set!=0) //小于0.5s,進(jìn)入分鐘設(shè)置
          {
          while(set==0) scan(); //等待按鍵釋放
          sign=1;
          flag=0;
          add_data(); //分鐘加1
          scan();
          }
          else sign=0; //大于0.5s,進(jìn)入小時(shí)設(shè)置
          }while(sign);
          while(set==0)scan(); //等待按鍵釋放
          con=6;
          do
          {
          while(set!=0) scan(); //等待按鍵
          delay_500ms();
          if(set!=0) //小于0.5s,進(jìn)入小時(shí)設(shè)置
          {
          while(set==0) scan(); //等待按鍵釋放
          sign=1;
          flag=1;
          add_data(); //小時(shí)加1
          scan();
          }
          else sign=0; //大于0.5s,結(jié)束時(shí)間設(shè)置
          }while(sign);
          ET1=0;TR1=0; //關(guān)定時(shí)器1
          ET0=1;TR0=1; //開定時(shí)器0
          }
          else //小于1s,進(jìn)入省電模式
          {
          ET0=1; TR0=1; //開定時(shí)器0
          while(set!=0); //等待按鍵
          do
          {
          scan(); //有鍵按下,調(diào)顯示程序消抖
          }while(set!=0); //是干擾則繼續(xù)循環(huán)
          }
          while(set==0) scan(); //等待按鍵釋放
          }
          else ; //無鍵按下,跳出按鍵掃描程序
          }
          /***************************************************
          * 函 數(shù) 名:clearmen()
          * 功能描述:對主程序進(jìn)行初始化設(shè)置
          * 函數(shù)說明:對顯示單元,定時(shí)器0、1進(jìn)行賦初值
          * 調(diào)用函數(shù):無
          * 全局變量:無
          * 輸 入 值:無
          * 輸 出 值:無
          * 返 回 值:無
          * 設(shè) 計(jì) 者:zhaojun 日期:2007-9-15
          * 修 改 者:zhaojun 日期:2007-9-19
          * 版 本:1.0
          *****************************************************/
          void clearmen(void)
          {
          uchar i;
          for(i=0;i<8;i++)
          {
          dis[i]=time_data[i];
          }
          TH0=0x3C; TL0=0xB0; //定時(shí)器0賦初值
          TH1=0x3C; TL1=0xB0; //定時(shí)器1賦初值
          TMOD=0x11;ET0=1;ET1=1;TR0=1;TR1=0;EA=1;//設(shè)置定時(shí)器工作方式,開定時(shí)器
          }
          /***************************************************
          * 函 數(shù) 名:main()
          * 功能描述:主程序
          * 函數(shù)說明:不斷進(jìn)行時(shí)間顯示和按鍵掃描
          * 調(diào)用函數(shù):clearmen(),scan(),keyscan()
          * 全局變量:無
          * 輸 入 值:無
          * 輸 出 值:無
          * 返 回 值:無
          * 設(shè) 計(jì) 者:zhaojun 日期:2007-9-15
          * 修 改 者:zhaojun 日期:2007-9-19
          * 版 本:1.0
          *****************************************************/
          void main( )
          {
          clearmen(); //初始化
          while(1)
          {
          scan(); //時(shí)間顯示
          keyscan(); //按鍵掃描
          }
          }
          /***************************************************
          * 函 數(shù) 名:time_intt0()
          * 功能描述:T0中斷服務(wù)程序
          * 函數(shù)說明:1s計(jì)數(shù),并對時(shí)間值進(jìn)行判斷
          * 調(diào)用函數(shù):無
          * 全局變量:無
          * 輸 入 值:無
          * 輸 出 值:無
          * 返 回 值:無
          * 設(shè) 計(jì) 者:zhaojun 日期:2007-9-15
          * 修 改 者:zhaojun 日期:2007-9-19
          * 版 本:1.0
          *****************************************************/
          void time_intt0(void) interrupt 1
          {
          uchar i;
          ET0=0;TR0=0; //關(guān)T0定時(shí)器
          TH0=0x3C;TL0=0xB7;//賦初值
          TR0=1; //開T0定時(shí)器
          con_1s++; //1s計(jì)數(shù)器計(jì)數(shù)
          if(con_1s==20) //1s到,時(shí)間加1
          {
          con_1s=0; //1s計(jì)數(shù)器值0
          time_data[0]++;//秒加1
          if(time_data[0]>=10) //秒個(gè)位
          {
          time_data[0]=0;time_data[1]++;
          if(time_data[1]>=6) //秒十位
          {
          time_data[1]=0;time_data[3]++;
          if(time_data[3]>=10) //分個(gè)位
          {
          time_data[3]=0;time_data[4]++;
          if(time_data[4]>=6) //分十位
          {
          time_data[4]=0;time_data[6]++;
          if(time_data[6]>=10) //時(shí)個(gè)位
          {
          time_data[6]=0;time_data[7]++;
          }
          if(time_data[7]==2) //時(shí)十位
          {
          if(time_data[6]==4) //時(shí)個(gè)位
          {
          time_data[6]=0;time_data[7]=0;
          }
          }
          }
          }
          }
          }
          for(i=0;i<8;i++) //計(jì)時(shí)數(shù)據(jù)放入顯示單元
          {
          dis[i]=time_data[i];
          }
          dis[8]=0x0a;dis[9]=0x0a; //放入熄滅符
          }
          ET0=1; //開總中斷
          }
          /***************************************************
          * 函 數(shù) 名:time_intt1()
          * 功能描述:T1中斷服務(wù)程序
          * 函數(shù)說明:用以調(diào)節(jié)時(shí)間是的閃爍
          * 調(diào)用函數(shù):無
          * 全局變量:無
          * 輸 入 值:無
          * 輸 出 值:無
          * 返 回 值:無
          * 設(shè) 計(jì) 者:zhaojun 日期:2007-9-15
          * 修 改 者:zhaojun 日期:2007-9-19
          * 版 本:1.0
          *****************************************************/
          void time_intt1(void) interrupt 3
          {
          EA=0; TR1=0; //關(guān)閉定時(shí)器
          TH1=0x3C; TL1=0xB0; //定時(shí)器1賦初值
          TR1=1; //開定時(shí)器1
          con_04s++; //0.4s計(jì)數(shù)器計(jì)數(shù)
          if(con_04s==8) //到0.4s,賦閃爍值
          {
          con_04s=0; //0.4s計(jì)數(shù)器值0
          dis[10]=dis[con];dis[con]=dis[8];dis[8]=dis[10];
          dis[11]=dis[con+1];dis[con+1]=dis[9];dis[9]=dis[11];
          }
          EA = 1; //開總中斷
          }


          //********************** 程序結(jié)束 ***********************



          關(guān)鍵詞: 數(shù)碼管時(shí)鐘電

          評論


          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();