<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 手把手教你學(xué)51單片機(jī)之十八 RS485通信與Modbus協(xié)議

          手把手教你學(xué)51單片機(jī)之十八 RS485通信與Modbus協(xié)議

          作者: 時(shí)間:2016-11-19 來源:網(wǎng)絡(luò) 收藏

          工業(yè)控制、電力通訊、智能儀表等領(lǐng)域,通常情況下是采用串口通信的方式進(jìn)行數(shù)據(jù)交換。最初采用的方式是RS232接口,由于工業(yè)現(xiàn)場比較復(fù)雜,各種電氣設(shè)備會(huì)在環(huán)境中產(chǎn)生比較多的電磁干擾,會(huì)導(dǎo)致信號傳輸錯(cuò)誤。除此之外,RS232接口只能實(shí)現(xiàn)點(diǎn)對點(diǎn)通信,不具備聯(lián)網(wǎng)功能,最大傳輸距離也只能達(dá)到十幾米,不能滿足遠(yuǎn)距離通信要求。而RS485則解決了這些問題,數(shù)據(jù)信號采用差分傳輸方式,可以有效的解決共模干擾問題,最大距離可達(dá)1200米,并且允許多個(gè)收發(fā)設(shè)備接到同一條總線上。隨著工業(yè)應(yīng)用通信越來越多,1979年施耐德電氣制定了一個(gè)用于工業(yè)現(xiàn)場的總線協(xié)議Modbus協(xié)議,現(xiàn)在工業(yè)中使用RS485通信場合很多都采用Modbus協(xié)議,本節(jié)課我們就來講解一下RS485通信和Modbus協(xié)議。

          本文引用地址:http://www.ex-cimer.com/article/201611/318570.htm

          單單使用一塊KST-51開發(fā)板是不能夠進(jìn)行RS485實(shí)驗(yàn)的,應(yīng)很多同學(xué)的要求,把這節(jié)課作為擴(kuò)展課程講一下,如果要做本課相關(guān)實(shí)驗(yàn),需要自行購買USB轉(zhuǎn)RS485通信模塊,或連接其它的RS485主控設(shè)備進(jìn)行。

          1.1RS485通信

          RS232標(biāo)準(zhǔn)是誕生于RS485之前的,但是RS232有幾處不足的地方:

          1、接口的信號電平值較高,達(dá)到十幾V,使用不當(dāng)容易損壞接口芯片,電平標(biāo)準(zhǔn)也與TTL電平不兼容。

          2、傳輸速率有局限,不可以過高,一般到一兩百千比特每秒(Kb/s)就到極限了。

          3、接口使用信號線和GND與其它設(shè)備形成共地模式的通信,這種共地模式傳輸容易產(chǎn)生干擾,并且抗干擾性能也比較弱。

          4、傳輸距離有限,最多只能通信幾十米。

          5、通信的時(shí)候只能兩點(diǎn)之間進(jìn)行通信,不能夠?qū)崿F(xiàn)多機(jī)聯(lián)網(wǎng)通信。

          針對RS232接口的不足,就不斷出現(xiàn)了一些新的接口標(biāo)準(zhǔn),RS485就是其中之一,它具備以下的特點(diǎn):

          1、采用差分信號。我們在講A/D的時(shí)候,講過差分信號輸入的概念,同時(shí)也介紹了差分輸入的好處,最大的優(yōu)勢是可以抑制共模干擾。尤其當(dāng)工業(yè)現(xiàn)場環(huán)境比較復(fù)雜,干擾比較多時(shí),采用差分方式可以有效的提高通信可靠性。RS485采用兩根通信線,通常用A和B或者D+和D-來表示。邏輯“1”以兩線之間的電壓差為+(0.2~6)V表示,邏輯“0”以兩線間的電壓差為-(0.2~6)V來表示,是一種典型的差分通信。

          2、RS485通信速率快,最大傳輸速度可以達(dá)到10Mb/s以上。

          3、RS485內(nèi)部的物理結(jié)構(gòu),采用的是平衡驅(qū)動(dòng)器和差分接收器的組合,抗干擾能力也大大增加。

          4、傳輸距離最遠(yuǎn)可以達(dá)到1200米左右,但是它的傳輸速率和傳輸距離是成反比的,只有在100Kb/s以下的傳輸速度,才能達(dá)到最大的通信距離,如果需要傳輸更遠(yuǎn)距離可以使用中繼。

          5、可以在總線上進(jìn)行聯(lián)網(wǎng)實(shí)現(xiàn)多機(jī)通信,總線上允許掛多個(gè)收發(fā)器,從現(xiàn)有的RS485芯片來看,有可以掛32、64、128、256等不同個(gè)設(shè)備的驅(qū)動(dòng)器。

          6、RS485的接口非常簡單,與RS232所使用的MAX232是類似的,只需要一個(gè)RS485轉(zhuǎn)換器,就可以直接與單片機(jī)的UART串口連接起來,并且使用完全相同的異步串行通信協(xié)議。但是由于RS485是差分通信,因此接收數(shù)據(jù)和發(fā)送數(shù)據(jù)是不能同時(shí)進(jìn)行的,也就是說它是一種半雙工通信。那我們?nèi)绾闻袛嗍裁磿r(shí)候發(fā)送,什么時(shí)候接收呢?

          RS485轉(zhuǎn)換芯片很多,這節(jié)課我們以典型的MAX485為例講解RS485通信,如圖18-1所示。


          圖18-1MAX485硬件接口

          MAX485是美信(Maxim)推出的一款常用RS485轉(zhuǎn)換器。其中5腳和8腳是電源引腳;6腳和7腳就是RS485通信中的A和B兩個(gè)引腳;1腳和4腳分別接到單片機(jī)的RXD和TXD引腳上,直接使用單片機(jī)UART進(jìn)行數(shù)據(jù)接收和發(fā)送;2腳和3腳是方向引腳,其中2腳是低電平使能接收器,3腳是高電平使能輸出驅(qū)動(dòng)器,我們把這兩個(gè)引腳連到一起,平時(shí)不發(fā)送數(shù)據(jù)的時(shí)候,保持這兩個(gè)引腳是低電平,讓MAX485處于接收狀態(tài),當(dāng)需要發(fā)送數(shù)據(jù)的時(shí)候,把這個(gè)引腳拉高,發(fā)送數(shù)據(jù),發(fā)送完畢后再拉低這個(gè)引腳就可以了。為了提高RS485的抗干擾能力,需要在靠近MAX485的A和B引腳之間并接一個(gè)電阻,這個(gè)電阻阻值從100歐到1K都是可以。

          在這里我們還要介紹一下如何使用KST-51單片機(jī)開發(fā)板進(jìn)行外圍擴(kuò)展實(shí)驗(yàn)。我們的開發(fā)板只能把基本的功能給同學(xué)們做出來提供實(shí)驗(yàn)練習(xí),但是同學(xué)們學(xué)習(xí)的腳步不應(yīng)該停留在這個(gè)實(shí)驗(yàn)板上。如果想進(jìn)行更多的實(shí)驗(yàn),就可以通過單片機(jī)開發(fā)板的擴(kuò)展接口進(jìn)行擴(kuò)展實(shí)驗(yàn)。大家可以看到藍(lán)綠色的單片機(jī)座周圍有32個(gè)插針,這32個(gè)插針就是把單片機(jī)的32個(gè)IO引腳全部都引出來了。在原理圖上體現(xiàn)出來的就是J4、J5、J6、J7這4個(gè)器件,如圖18-2所示。


          圖18-2單片機(jī)擴(kuò)展接口

          這32個(gè)IO口中并不是所有的都可以用來對外擴(kuò)展,其中既作為數(shù)據(jù)輸出,又可以作為數(shù)據(jù)輸入的引腳是不可以用的,比如P3.2、P3.4、P3.6引腳,這三個(gè)引腳是不可用的。比如P3.2這個(gè)引腳,如果我們用來擴(kuò)展,發(fā)送的信號如果和DS18B20的時(shí)序吻合,會(huì)導(dǎo)致DS18B20拉低引腳,影響通信。除這3個(gè)IO口以外的其它29個(gè),都可以使用杜邦線接上插針,擴(kuò)展出來使用。當(dāng)然了,如果把當(dāng)前的IO口應(yīng)用于擴(kuò)展功能了,板子上的相應(yīng)功能就實(shí)現(xiàn)不了了,也就是說需要擴(kuò)展功能和板載功能之間二選一。

          在進(jìn)行RS485實(shí)驗(yàn)中,我們通信用的引腳必須是P3.0和P3.1,此外還有一個(gè)方向控制引腳,我們使用杜邦線將其連接到P1.7上去。RS485的另外一端,大家可以使用一個(gè)USB轉(zhuǎn)RS485模塊,用雙絞線把開發(fā)板和模塊上的A和B分別對應(yīng)連起來,USB那頭插入電腦,然后就可以進(jìn)行通信了。

          學(xué)習(xí)了第13章實(shí)用的串口通信方法和程序后,做這種串口通信的方法就很簡單了,基本是一致的。我們使用實(shí)用串口通信例程的思路,做了一個(gè)簡單的程序,通過串口調(diào)試助手下發(fā)任意個(gè)字符,單片機(jī)接收到后在末尾添加“回車+換行”符后再送回,在調(diào)試助手上重新顯示出來,先把程序貼出來。

          程序中需要注意的一點(diǎn)是:因?yàn)槠匠6际菍AX485設(shè)置為接收狀態(tài),只有在發(fā)送數(shù)據(jù)的時(shí)候才將MAX485改為發(fā)送狀態(tài),所以在UartWrite()函數(shù)開頭將MAX485方向引腳拉高,函數(shù)退出前再拉低。但是這里有一個(gè)細(xì)節(jié),就是單片機(jī)的發(fā)送和接收中斷產(chǎn)生的時(shí)刻都是在停止位的一半上,也就是說每當(dāng)停止位傳送了一半的時(shí)候,RI或TI就已經(jīng)置位并且馬上進(jìn)入中斷(如果中斷使能的話)函數(shù)了,接收的時(shí)候自然不會(huì)存在問題,但發(fā)送的時(shí)候就不一樣了:當(dāng)緊接著向SBUF寫入一個(gè)字節(jié)數(shù)據(jù)時(shí),UART硬件會(huì)在完成上一個(gè)停止位的發(fā)送后,再開始新字節(jié)的發(fā)送,但如果此時(shí)不是繼續(xù)發(fā)送下一個(gè)字節(jié),而是已經(jīng)發(fā)送完畢了,要停止發(fā)送并將MAX485方向引腳拉低以使MAX485重新處于接收狀態(tài)時(shí)就有問題了,因?yàn)檫@時(shí)候最后的這個(gè)停止位實(shí)際只發(fā)送了一半,還沒有完全完成,所以就有了UartWrite()函數(shù)內(nèi)DelayX10us(5)這個(gè)操作,這是人為的增加了50us的延時(shí),這50us的時(shí)間正好讓剩下的一半停止位完成,那么這個(gè)時(shí)間自然就是由通信波特率決定的了,為波特率周期的一半。

          /RS485.c文件程序源代碼*/

          #include

          #include

          sbitRS485_DIR=P1^7;//RS485方向選擇引腳

          bitflagFrame=0;//幀接收完成標(biāo)志,即接收到一幀新數(shù)據(jù)

          bitflagTxd=0;//單字節(jié)發(fā)送完成標(biāo)志,用來替代TXD中斷標(biāo)志位

          unsignedcharcntRxd=0;//接收字節(jié)計(jì)數(shù)器

          unsignedcharpdatabufRxd[64];//接收字節(jié)緩沖區(qū)

          externvoidUartAction(unsignedchar*buf,unsignedcharlen);

          /*串口配置函數(shù),baud-通信波特率*/

          voidConfigUART(unsignedintbaud)

          {

          RS485_DIR=0;//RS485設(shè)置為接收方向

          SCON=0x50;//配置串口為模式1

          TMOD&=0x0F;//清零T1的控制位

          TMOD|=0x20;//配置T1為模式2

          TH1=256-(11059200/12/32)/baud;//計(jì)算T1重載值

          TL1=TH1;//初值等于重載值

          ET1=0;//禁止T1中斷

          ES=1;//使能串口中斷

          TR1=1;//啟動(dòng)T1

          }

          /*軟件延時(shí)函數(shù),延時(shí)時(shí)間(t*10)us*/

          voidDelayX10us(unsignedchart)

          {

          do{

          _nop_();

          _nop_();

          _nop_();

          _nop_();

          _nop_();

          _nop_();

          _nop_();

          _nop_();

          }while(--t);

          }

          /*串口數(shù)據(jù)寫入,即串口發(fā)送函數(shù),buf-待發(fā)送數(shù)據(jù)的指針,len-指定的發(fā)送長度*/

          voidUartWrite(unsignedchar*buf,unsignedcharlen)

          {

          RS485_DIR=1;//RS485設(shè)置為發(fā)送

          while(len--)//循環(huán)發(fā)送所有字節(jié)

          {

          flagTxd=0;//清零發(fā)送標(biāo)志

          SBUF=*buf++;//發(fā)送一個(gè)字節(jié)數(shù)據(jù)

          while(!flagTxd);//等待該字節(jié)發(fā)送完成

          }

          DelayX10us(5);//等待最后的停止位完成,延時(shí)時(shí)間由波特率決定

          RS485_DIR=0;//RS485設(shè)置為接收

          }

          /*串口數(shù)據(jù)讀取函數(shù),buf-接收指針,len-指定的讀取長度,返回值-實(shí)際讀到的長度*/

          unsignedcharUartRead(unsignedchar*buf,unsignedcharlen)

          {

          unsignedchari;

          if(len>cntRxd)//指定讀取長度大于實(shí)際接收到的數(shù)據(jù)長度時(shí),

          {//讀取長度設(shè)置為實(shí)際接收到的數(shù)據(jù)長度

          len=cntRxd;

          }

          for(i=0;i

          {

          *buf++=bufRxd[i];

          }

          cntRxd=0;//接收計(jì)數(shù)器清零

          returnlen;//返回實(shí)際讀取長度

          }

          /*串口接收監(jiān)控,由空閑時(shí)間判定幀結(jié)束,需在定時(shí)中斷中調(diào)用,ms-定時(shí)間隔*/

          voidUartRxMonitor(unsignedcharms)

          {

          staticunsignedcharcntbkp=0;

          staticunsignedcharidletmr=0;

          if(cntRxd>0)//接收計(jì)數(shù)器大于零時(shí),監(jiān)控總線空閑時(shí)間

          {

          if(cntbkp!=cntRxd)//接收計(jì)數(shù)器改變,即剛接收到數(shù)據(jù)時(shí),清零空閑計(jì)時(shí)

          {

          cntbkp=cntRxd;

          idletmr=0;

          }

          else//接收計(jì)數(shù)器未改變,即總線空閑時(shí),累積空閑時(shí)間

          {

          if(idletmr<30)//空閑計(jì)時(shí)小于30ms時(shí),持續(xù)累加

          {

          idletmr+=ms;

          if(idletmr>=30)//空閑時(shí)間達(dá)到30ms時(shí),即判定為一幀接收完畢

          {

          flagFrame=1;//設(shè)置幀接收完成標(biāo)志

          }

          }

          }

          }

          else

          {

          cntbkp=0;

          }

          }

          /*串口驅(qū)動(dòng)函數(shù),監(jiān)測數(shù)據(jù)幀的接收,調(diào)度功能函數(shù),需在主循環(huán)中調(diào)用*/

          voidUartDriver()

          {

          unsignedcharlen;

          unsignedcharpdatabuf[40];

          if(flagFrame)//有命令到達(dá)時(shí),讀取處理該命令

          {

          flagFrame=0;

          len=UartRead(buf,sizeof(buf)-2);//將接收到的命令讀取到緩沖區(qū)中

          UartAction(buf,len);//傳遞數(shù)據(jù)幀,調(diào)用動(dòng)作執(zhí)行函數(shù)

          }

          }

          /*串口中斷服務(wù)函數(shù)*/

          voidInterruptUART()interrupt4

          {

          if(RI)//接收到新字節(jié)

          {

          RI=0;//清零接收中斷標(biāo)志位

          if(cntRxd

          {//保存接收字節(jié),并遞增計(jì)數(shù)器

          bufRxd[cntRxd++]=SBUF;

          }

          }

          if(TI)//字節(jié)發(fā)送完畢

          {

          TI=0;//清零發(fā)送中斷標(biāo)志位

          flagTxd=1;//設(shè)置字節(jié)發(fā)送完成標(biāo)志

          }

          }

          /*main.c文件程序源代碼/

          #include

          unsignedcharT0RH=0;//T0重載值的高字節(jié)

          unsignedcharT0RL=0;//T0重載值的低字節(jié)

          voidConfigTimer0(unsignedintms);

          externvoidUartDriver();

          externvoidConfigUART(unsignedintbaud);

          externvoidUartRxMonitor(unsignedcharms);

          externvoidUartWrite(unsignedchar*buf,unsignedcharlen);

          voidmain()

          {

          EA=1;//開總中斷

          ConfigTimer0(1);//配置T0定時(shí)1ms

          ConfigUART(9600);//配置波特率為9600

          while(1)

          {

          UartDriver();//調(diào)用串口驅(qū)動(dòng)

          }

          }

          /*串口動(dòng)作函數(shù),根據(jù)接收到的命令幀執(zhí)行響應(yīng)的動(dòng)作

          buf-接收到的命令幀指針,len-命令幀長度*/

          voidUartAction(unsignedchar*buf,unsignedcharlen)

          {

          //在接收到的數(shù)據(jù)幀后添加換車換行符后發(fā)回

          buf[len++]=r;

          buf[len++]=n;

          UartWrite(buf,len);

          }

          /*配置并啟動(dòng)T0,ms-T0定時(shí)時(shí)間*/

          voidConfigTimer0(unsignedintms)

          {

          unsignedlongtmp;//臨時(shí)變量

          tmp=11059200/12;//定時(shí)器計(jì)數(shù)頻率

          tmp=(tmp*ms)/1000;//計(jì)算所需的計(jì)數(shù)值

          tmp=65536-tmp;//計(jì)算定時(shí)器重載值

          tmp=tmp+33;//補(bǔ)償中斷響應(yīng)延時(shí)造成的誤差

          T0RH=(unsignedchar)(tmp>>8);//定時(shí)器重載值拆分為高低字節(jié)

          T0RL=(unsignedchar)tmp;

          TMOD&=0xF0;//清零T0的控制位

          TMOD|=0x01;//配置T0為模式1

          TH0=T0RH;//加載T0重載值

          TL0=T0RL;

          ET0=1;//使能T0中斷

          TR0=1;//啟動(dòng)T0

          }

          /*T0中斷服務(wù)函數(shù),執(zhí)行串口接收監(jiān)控*/

          voidInterruptTimer0()interrupt1

          {

          TH0=T0RH;//重新加載重載值

          TL0=T0RL;

          UartRxMonitor(1);//串口接收監(jiān)控

          }

          現(xiàn)在看這種串口程序,是不是感覺很簡單了呢?串口通信程序我們反反復(fù)復(fù)的使用,加上隨著學(xué)習(xí)的模塊越來越多,實(shí)踐的越來越多,原先感覺很復(fù)雜的東西,現(xiàn)在就會(huì)感到簡單了。從設(shè)備管理器里可以查看所有的COM口號,我們下載程序用的是COM4,而USB轉(zhuǎn)RS485虛擬的是COM5,通信的時(shí)候我們用的是COM5口,如圖18-3所示。


          圖18-3RS485通信試驗(yàn)設(shè)置和結(jié)果

          1.2Modbus通信協(xié)議介紹

          我們前邊學(xué)習(xí)UART、I2C、SPI這些通信協(xié)議,都是最底層的協(xié)議,是“位”級別的協(xié)議。而我們在學(xué)習(xí)13章做實(shí)用串口通信程序的時(shí)候,我們通過串口發(fā)給單片機(jī)三條指令,讓單片機(jī)做了三件不同的事情,分別是“buzzon”、“buzzoff”和“showstr”。隨著系統(tǒng)復(fù)雜性的增加,我們希望可以實(shí)現(xiàn)更多的指令。而指令越來越多,帶來的后果就是非常雜亂無章,尤其是這個(gè)人喜歡寫成“buzzon”、“buzzoff”,而另外一個(gè)人喜歡寫成“onbuzz”、“offbuzz”。導(dǎo)致不同開發(fā)人員寫出來的程序代碼不兼容,不同廠家的產(chǎn)品不能掛到一條總線上通信。

          隨著這種矛盾的日益嚴(yán)重,就會(huì)有聰明人提出更合理的解決方案,提出一些標(biāo)準(zhǔn)來,今后我們的編程必須按照這個(gè)標(biāo)準(zhǔn)來,這種標(biāo)準(zhǔn)也是一種通信協(xié)議,但是和UART、I2C、SPI通信協(xié)議不同的是,這種通信協(xié)議是字節(jié)級別的,叫做應(yīng)用層通信協(xié)議。在1979年由Modicon(現(xiàn)為施耐德電氣公司的一個(gè)品牌)提出了全球第一個(gè)真正用于工業(yè)現(xiàn)場總線的協(xié)議,就是Modbus協(xié)議。

          1.2.1Modbus協(xié)議特點(diǎn)

          Modbus協(xié)議是應(yīng)用于電子控制器上的一種通用語言。通過此協(xié)議,控制器相互之間、控制器經(jīng)由網(wǎng)絡(luò)(例如以太網(wǎng))和其他設(shè)備之間可以通信,已經(jīng)成為一種工業(yè)標(biāo)準(zhǔn)。有了它,不同廠商生產(chǎn)的控制設(shè)備可以連成工業(yè)網(wǎng)絡(luò),進(jìn)行集中監(jiān)控。這種協(xié)議定義了一種控制器能夠認(rèn)識使用的數(shù)據(jù)結(jié)構(gòu),而不管它們是經(jīng)過何種網(wǎng)絡(luò)進(jìn)行通信的。它描述了控制器請求訪問其它設(shè)備的過程,如何回應(yīng)來自其它設(shè)備的請求,以及怎樣偵測錯(cuò)誤記錄,它制定了通信數(shù)據(jù)的格局和內(nèi)容的公共格式。

          在進(jìn)行多機(jī)通信的時(shí)候,Modbus協(xié)議規(guī)定每個(gè)控制器必須要知道它們的設(shè)備地址,識別按照地址發(fā)送過來的數(shù)據(jù),決定是否要產(chǎn)生動(dòng)作,產(chǎn)生何種動(dòng)作,如果要回應(yīng),控制器將生成的反饋信息用Modbus協(xié)議發(fā)出。

          Modbus協(xié)議允許在各種網(wǎng)絡(luò)體系結(jié)構(gòu)內(nèi)進(jìn)行簡單通信,每種設(shè)備(PLC、人機(jī)界面、控制面板、驅(qū)動(dòng)程序、輸入輸出設(shè)備等)都能使用Modbus協(xié)議來啟動(dòng)遠(yuǎn)程操作,一些網(wǎng)關(guān)允許在幾種使用Modbus協(xié)議的總線或網(wǎng)絡(luò)之間的通信,如圖18-4所示。


          圖18-4Modbus網(wǎng)絡(luò)體系結(jié)構(gòu)實(shí)例

          Modbus協(xié)議的整體架構(gòu)和格式比較復(fù)雜和龐大,在我們的課程里,我們重點(diǎn)介紹數(shù)據(jù)幀結(jié)構(gòu)和數(shù)據(jù)通信控制方式,作為一個(gè)入門級別的了解。如果大家要詳細(xì)了解,或者使用Modbus開發(fā)相關(guān)設(shè)備,可以查閱相關(guān)的國標(biāo)文件再進(jìn)行深入學(xué)習(xí)。

          1.1.1RTU協(xié)議幀數(shù)據(jù)

          Modbus有兩種通信傳輸方式,一種是ASCII模式,一種是RTU模式。由于ASCII模式的數(shù)據(jù)字節(jié)是7bit數(shù)據(jù)位,51單片機(jī)無法實(shí)現(xiàn),而且實(shí)際應(yīng)用的也比較少,所以這里我們只用RTU模式。兩種模式相似,會(huì)用一種另外一種也就會(huì)了。一條典型的RTU數(shù)據(jù)幀如圖18-5所示。


          圖18-5RTU數(shù)據(jù)幀

          與之前我們講解實(shí)用串口通信程序時(shí)用的原理相同,一次發(fā)送的數(shù)據(jù)幀必須是作為一個(gè)連續(xù)的數(shù)據(jù)流進(jìn)行傳輸。我們在實(shí)用串口通信程序中采用的方法是定義30ms,如果數(shù)據(jù)接收時(shí)超過了30ms還沒有接收到下一個(gè)字節(jié),我們就認(rèn)為這次的數(shù)據(jù)結(jié)束。而Modbus的RTU模式規(guī)定不同數(shù)據(jù)幀之間的間隔是3.5個(gè)字節(jié)通信時(shí)間以上。如果在一幀數(shù)據(jù)完成之前有超過3.5個(gè)字節(jié)時(shí)間的停頓,接收設(shè)備將刷新當(dāng)前的消息并假定下一個(gè)字節(jié)是一個(gè)新的數(shù)據(jù)幀的開始。同樣的,如果一個(gè)新消息在小于3.5個(gè)字節(jié)時(shí)間內(nèi)接著前邊一個(gè)數(shù)據(jù)開始,接收設(shè)備將會(huì)認(rèn)為它是前一幀數(shù)據(jù)的延續(xù)。這將會(huì)導(dǎo)致一個(gè)錯(cuò)誤,因此大家看RTU數(shù)據(jù)幀最后還有16bit的CRC校驗(yàn)。

          起始位和結(jié)束符:圖18-5上代表的是一個(gè)數(shù)據(jù)幀,前后都至少有3.5個(gè)字節(jié)的時(shí)間間隔,起始位和結(jié)束符實(shí)際上沒有任何數(shù)據(jù),T1-T2-T3-T4代表的是時(shí)間間隔3.5個(gè)字節(jié)以上的時(shí)間,而真正有意義的第一個(gè)字節(jié)是設(shè)備地址。

          設(shè)備地址:很多同學(xué)不理解,在多機(jī)通信的時(shí)候,數(shù)據(jù)那么多,我們依靠什么判斷這個(gè)數(shù)據(jù)幀是哪個(gè)設(shè)備的呢?沒錯(cuò),就是依靠這個(gè)設(shè)備地址字節(jié)。每個(gè)設(shè)備都有一個(gè)自己的地址,當(dāng)設(shè)備接收到一幀數(shù)據(jù)后,程序首先對設(shè)備地址字節(jié)進(jìn)行判斷比較,如果與自己的地址不同,則對這幀數(shù)據(jù)直接不予理會(huì),如果與自己的地址相同,就要對這幀數(shù)據(jù)進(jìn)行解析,按照之后的功能碼執(zhí)行相應(yīng)的功能。如果地址是0x00,則認(rèn)為是一個(gè)廣播命令,就是所有的從機(jī)設(shè)備都要執(zhí)行的指令。

          功能代碼:在第二個(gè)字節(jié)功能代碼字節(jié)中,Modbus規(guī)定了部分功能代碼,此外也保留了一部分功能代碼作為備用或者用戶自定義,這些功能碼大家不需要去記憶,甚至都不用去看,直到你用到的那天再過來查這個(gè)表格即可,如表18-1所示。

          表18-1Modbus功能碼

          (ON/OFF)

          (ON/OFF)

          8個(gè)內(nèi)部線圈的通斷狀態(tài),這8個(gè)線圈的地址由控制器決定,用戶邏輯可以將這些線圈定義,以說明從機(jī)狀態(tài),短報(bào)文適宜于迅速讀取狀態(tài)

          484

          PC從機(jī)邏輯

          484

          9的報(bào)文發(fā)送后,本功能碼才發(fā)送

          ModBus事務(wù)處理通信事件記錄。如果某項(xiàng)事務(wù)處理完成,記錄會(huì)給出有關(guān)錯(cuò)誤

          PC從機(jī)邏輯

          13的報(bào)文發(fā)送后,本功能碼才得發(fā)送

          和MICRO84

          PC狀態(tài)邏輯

          程序?qū)δ艽a的處理,就是來檢測這個(gè)字節(jié)的數(shù)值,然后根據(jù)其數(shù)值來做相應(yīng)的功能處理。

          數(shù)據(jù):跟在功能代碼后邊的是n個(gè)8bit的數(shù)據(jù)。這個(gè)n值的到底是多少,是功能代碼來確定的,不同的功能代碼后邊跟的數(shù)據(jù)數(shù)量不同。舉個(gè)例子,如果功能碼是0x03,也就是讀保持寄存器,那么主機(jī)發(fā)送數(shù)據(jù)n的組成部分就是:2個(gè)字節(jié)的寄存器起始地址,加2個(gè)字節(jié)的寄存器數(shù)量N。從機(jī)數(shù)據(jù)n的組成部分是:1個(gè)字節(jié)的字節(jié)數(shù),因?yàn)槲覀兊募拇嫫鞯闹凳?個(gè)字節(jié),所以這個(gè)字節(jié)數(shù)也就是2N個(gè),再加上2N個(gè)寄存器的值,如圖18-6所示。

          圖18-6讀保持寄存器數(shù)據(jù)結(jié)構(gòu)

          CRC校驗(yàn):CRC校驗(yàn)是一種數(shù)據(jù)算法,是用來校驗(yàn)數(shù)據(jù)對錯(cuò)的。CRC校驗(yàn)函數(shù)把一幀數(shù)據(jù)除最后兩個(gè)字節(jié)外,前邊所有的字節(jié)進(jìn)行特定的算法計(jì)算,計(jì)算完后生成了一個(gè)16bit的數(shù)據(jù),作為CRC校驗(yàn)碼,添加在一幀數(shù)據(jù)的最后。接收方接收到數(shù)據(jù)后,同樣會(huì)把前邊的字節(jié)進(jìn)行CRC計(jì)算,計(jì)算完了再和發(fā)過來的16bit的CRC數(shù)據(jù)進(jìn)行比較,如果相同則認(rèn)為數(shù)據(jù)正常,沒有出錯(cuò),如果比較不相同,則說明數(shù)據(jù)在傳輸中發(fā)生了錯(cuò)誤,這幀數(shù)據(jù)將被丟棄,就像沒收到一樣,而發(fā)送方會(huì)在得不到回應(yīng)后做相應(yīng)的處理錯(cuò)誤處理。

          RTU模式的每個(gè)字節(jié)的位是這樣分布的:1個(gè)起始位、8個(gè)數(shù)據(jù)位,最小有效位先發(fā)送、1個(gè)奇偶校驗(yàn)位(如果無校驗(yàn)則沒有這一位)、1位停止位(有校驗(yàn)位時(shí))或者2個(gè)停止位(無校驗(yàn)位時(shí))。

          1.1Modbus多機(jī)通信例程

          給從機(jī)下發(fā)不同的指令,從機(jī)去執(zhí)行不同的操作,這個(gè)就是判斷一下功能碼即可,和我們前邊學(xué)的實(shí)用串口例程是類似的。多機(jī)通信,無非就是添加了一個(gè)設(shè)備地址判斷而已,難度也不大。我們找了一個(gè)Modbus調(diào)試精靈,通過設(shè)置設(shè)備地址,讀寫寄存器的地址以及數(shù)值數(shù)量等參數(shù),可以直接替代串口調(diào)試助手,比較方便的下發(fā)多個(gè)字節(jié)的數(shù)據(jù),如圖18-7所示。我們先來就圖中的設(shè)置和數(shù)據(jù)來對Modbus做進(jìn)一步的分析,圖中的數(shù)據(jù)來自于調(diào)試精靈與我們接下來要講的例程之間的交互。

          圖18-7Modbus調(diào)試精靈

          如圖,我們的USB轉(zhuǎn)RS485模塊虛擬出的是COM5,波特率9600,無校驗(yàn)位,數(shù)據(jù)位是8位,1位停止位,設(shè)備地址假設(shè)為1。

          寫寄存器的時(shí)候,如果我們要把01寫到一個(gè)地址是0000的寄存器地址里,點(diǎn)一下“寫入”,就會(huì)出現(xiàn)發(fā)送指令:010600000001480A。我們來分析一下這幀數(shù)據(jù),其中01是設(shè)備地址,06是功能碼,代表寫寄存器這個(gè)功能,后邊跟0000表示的是要寫入的寄存器的地址,0001就是要寫入的數(shù)據(jù),480A就是CRC校驗(yàn)碼,這是軟件自動(dòng)算出來的。而根據(jù)Modbus協(xié)議,當(dāng)寫寄存器的時(shí)候,從機(jī)成功完成該指令的操作后,會(huì)把主機(jī)發(fā)送的指令直接返回,我們的調(diào)試精靈會(huì)接收到這樣一幀數(shù)據(jù):010600000001480A。

          假如我們現(xiàn)在要從寄存器地址0002開始讀取寄存器,并且讀取的數(shù)量是2個(gè)。點(diǎn)一下“讀出”,就會(huì)出現(xiàn)發(fā)送指令:01030002000265CB。其中01是設(shè)備地址,03是功能碼,代表讀寄存器這個(gè)功能,0002就是讀寄存器的起始地址,后一個(gè)0002就是要讀取2個(gè)寄存器的數(shù)值,65CB就是CRC校驗(yàn)。而接收到的數(shù)據(jù)是:01030400000000FA33。其中01是設(shè)備地址,03是功能碼,04代表的是后邊讀到的數(shù)據(jù)字節(jié)數(shù)是4個(gè),00000000分別是地址為0002和0003的寄存器內(nèi)部的數(shù)據(jù),而FA33就是CRC校驗(yàn)了。

          似乎越來越明朗了,所謂的Modbus通信協(xié)議,無非就是主機(jī)下發(fā)了不同的指令,從機(jī)根據(jù)指令的判斷來執(zhí)行不同的操作而已。由于我們的開發(fā)板沒有Modbus功能碼那么多相應(yīng)的功能,我們在程序中定義了一個(gè)數(shù)組regGroup[5],相當(dāng)于5個(gè)寄存器,此外又定義了第6個(gè)寄存器,控制蜂鳴器,通過下發(fā)不同的指令我們改變寄存器組的數(shù)據(jù)或者改變蜂鳴器的開關(guān)狀態(tài)。在Modbus協(xié)議里寄存器的地址和數(shù)值都是16位的,即2個(gè)字節(jié),我們默認(rèn)高字節(jié)是0x00,低字節(jié)就是數(shù)組regGroup對應(yīng)的值。其中地址0x0000到0x0004對應(yīng)的就是regGroup數(shù)組中的元素,我們寫入的同時(shí)把數(shù)字又顯示到1602液晶上,而0x0005這個(gè)地址,寫入0x00,蜂鳴器就不響,寫入任何其它數(shù)值,蜂鳴器就報(bào)警。我們單片機(jī)的主要工作也就是解析串口接收的數(shù)據(jù)執(zhí)行不同操作。

          /*Lcd1602.c文件程序源代碼*/

          (此處省略,可參考之前章節(jié)的代碼)

          /RS485.c文件程序源代碼*/

          (此處省略,可參考之前章節(jié)的代碼)

          /CRC16.c文件程序源代碼/

          /*CRC16計(jì)算函數(shù),ptr-數(shù)據(jù)指針,len-數(shù)據(jù)長度,返回值-計(jì)算出的CRC16數(shù)值*/

          unsignedintGetCRC16(unsignedchar*ptr,unsignedcharlen)

          {

          unsignedintindex;

          unsignedcharcrch=0xFF;//高CRC字節(jié)

          unsignedcharcrcl=0xFF;//低CRC字節(jié)

          unsignedcharcodeTabH[]={//CRC高位字節(jié)值表

          0x00,0xC1,0x81,0x40,0x01,0xC0,0x80,0x41,0x01,0xC0,

          0x80,0x41,0x00,0xC1,0x81,0x40,0x01,0xC0,0x80,0x41,

          0x00,0xC1,0x81,0x40,0x00,0xC1,0x81,0x40,0x01,0xC0,

          0x80,0x41,0x01,0xC0,0x80,0x41,0x00,0xC1,0x81,0x40,

          0x00,0xC1,0x81,0x40,0x01,0xC0,0x80,0x41,0x00,0xC1,

          0x81,0x40,0x01,0xC0,0x80,0x41,0x01,0xC0,0x80,0x41,

          0x00,0xC1,0x81,0x40,0x01,0xC0,0x80,0x41,0x00,0xC1,

          0x81,0x40,0x00,0xC1,0x81,0x40,0x01,0xC0,0x80,0x41,

          0x00,0xC1,0x81,0x40,0x01,0xC0,0x80,0x41,0x01,0xC0,

          0x80,0x41,0x00,0xC1,0x81,0x40,0x00,0xC1,0x81,0x40,

          0x01,0xC0,0x80,0x41,0x01,0xC0,0x80,0x41,0x00,0xC1,

          0x81,0x40,0x01,0xC0,0x80,0x41,0x00,0xC1,0x81,0x40,

          0x00,0xC1,0x81,0x40,0x01,0xC0,0x80,0x41,0x01,0xC0,

          0x80,0x41,0x00,0xC1,0x81,0x40,0x00,0xC1,0x81,0x40,

          0x01,0xC0,0x80,0x41,0x00,0xC1,0x81,0x40,0x01,0xC0,

          0x80,0x41,0x01,0xC0,0x80,0x41,0x00,0xC1,0x81,0x40,

          0x00,0xC1,0x81,0x40,0x01,0xC0,0x80,0x41,0x01,0xC0,

          0x80,0x41,0x00,0xC1,0x81,0x40,0x01,0xC0,0x80,0x41,

          0x00,0xC1,0x81,0x40,0x00,0xC1,0x81,0x40,0x01,0xC0,

          0x80,0x41,0x00,0xC1,0x81,0x40,0x01,0xC0,0x80,0x41,

          0x01,0xC0,0x80,0x41,0x00,0xC1,0x81,0x40,0x01,0xC0,

          0x80,0x41,0x00,0xC1,0x81,0x40,0x00,0xC1,0x81,0x40,

          0x01,0xC0,0x80,0x41,0x01,0xC0,0x80,0x41,0x00,0xC1,

          0x81,0x40,0x00,0xC1,0x81,0x40,0x01,0xC0,0x80,0x41,

          0x00,0xC1,0x81,0x40,0x01,0xC0,0x80,0x41,0x01,0xC0,

          0x80,0x41,0x00,0xC1,0x81,0x40

          };

          unsignedcharcodeTabL[]={//CRC低位字節(jié)值表

          0x00,0xC0,0xC1,0x01,0xC3,0x03,0x02,0xC2,0xC6,0x06,

          0x07,0xC7,0x05,0xC5,0xC4,0x04,0xCC,0x0C,0x0D,0xCD,

          0x0F,0xCF,0xCE,0x0E,0x0A,0xCA,0xCB,0x0B,0xC9,0x09,

          0x08,0xC8,0xD8,0x18,0x19,0xD9,0x1B,0xDB,0xDA,0x1A,

          0x1E,0xDE,0xDF,0x1F,0xDD,0x1D,0x1C,0xDC,0x14,0xD4,

          0xD5,0x15,0xD7,0x17,0x16,0xD6,0xD2,0x12,0x13,0xD3,

          0x11,0xD1,0xD0,0x10,0xF0,0x30,0x31,0xF1,0x33,0xF3,

          0xF2,0x32,0x36,0xF6,0xF7,0x37,0xF5,0x35,0x34,0xF4,

          0x3C,0xFC,0xFD,0x3D,0xFF,0x3F,0x3E,0xFE,0xFA,0x3A,

          0x3B,0xFB,0x39,0xF9,0xF8,0x38,0x28,0xE8,0xE9,0x29,

          0xEB,0x2B,0x2A,0xEA,0xEE,0x2E,0x2F,0xEF,0x2D,0xED,

          0xEC,0x2C,0xE4,0x24,0x25,0xE5,0x27,0xE7,0xE6,0x26,

          0x22,0xE2,0xE3,0x23,0xE1,0x21,0x20,0xE0,0xA0,0x60,

          0x61,0xA1,0x63,0xA3,0xA2,0x62,0x66,0xA6,0xA7,0x67,

          0xA5,0x65,0x64,0xA4,0x6C,0xAC,0xAD,0x6D,0xAF,0x6F,

          0x6E,0xAE,0xAA,0x6A,0x6B,0xAB,0x69,0xA9,0xA8,0x68,

          0x78,0xB8,0xB9,0x79,0xBB,0x7B,0x7A,0xBA,0xBE,0x7E,

          0x7F,0xBF,0x7D,0xBD,0xBC,0x7C,0xB4,0x74,0x75,0xB5,

          0x77,0xB7,0xB6,0x76,0x72,0xB2,0xB3,0x73,0xB1,0x71,

          0x70,0xB0,0x50,0x90,0x91,0x51,0x93,0x53,0x52,0x92,

          0x96,0x56,0x57,0x97,0x55,0x95,0x94,0x54,0x9C,0x5C,

          0x5D,0x9D,0x5F,0x9F,0x9E,0x5E,0x5A,0x9A,0x9B,0x5B,

          0x99,0x59,0x58,0x98,0x88,0x48,0x49,0x89,0x4B,0x8B,

          0x8A,0x4A,0x4E,0x8E,0x8F,0x4F,0x8D,0x4D,0x4C,0x8C,

          0x44,0x84,0x85,0x45,0x87,0x47,0x46,0x86,0x82,0x42,

          0x43,0x83,0x41,0x81,0x80,0x40

          };

          while(len--)//計(jì)算指定長度的CRC

          {

          index=crch^*ptr++;

          crch=crcl^TabH[index];

          crcl=TabL[index];

          }

          return((crch<<8)|crcl);

          }

          關(guān)于CRC校驗(yàn)的算法,如果不是專門學(xué)習(xí)校驗(yàn)算法本身,大家可以不去研究這個(gè)程序的細(xì)節(jié),直接使用現(xiàn)成的函數(shù)即可。

          /*main.c文件程序源代碼/

          #include

          sbitBUZZ=P1^6;

          bitflagBuzzOn=0;//蜂鳴器啟動(dòng)標(biāo)志

          unsignedcharT0RH=0;//T0重載值的高字節(jié)

          unsignedcharT0RL=0;//T0重載值的低字節(jié)

          unsignedcharregGroup[5];//Modbus寄存器組,地址為0x00~0x04

          voidConfigTimer0(unsignedintms);

          externvoidUartDriver();

          externvoidConfigUART(unsignedintbaud);

          externvoidUartRxMonitor(unsignedcharms);

          externvoidUartWrite(unsignedchar*buf,unsignedcharlen);

          externunsignedintGetCRC16(unsignedchar*ptr,unsignedcharlen);

          externvoidInitLcd1602();

          externvoidLcdShowStr(unsignedcharx,unsignedchary,unsignedchar*str);

          voidmain()

          {

          EA=1;//開總中斷

          ConfigTimer0(1);//配置T0定時(shí)1ms

          ConfigUART(9600);//配置波特率為9600

          InitLcd1602();//初始化液晶

          while(1)

          {

          UartDriver();//調(diào)用串口驅(qū)動(dòng)

          }

          }

          /*串口動(dòng)作函數(shù),根據(jù)接收到的命令幀執(zhí)行響應(yīng)的動(dòng)作

          buf-接收到的命令幀指針,len-命令幀長度*/

          voidUartAction(unsignedchar*buf,unsignedcharlen)

          {

          unsignedchari;

          unsignedcharcnt;

          unsignedcharstr[4];

          unsignedintcrc;

          unsignedcharcrch,crcl;

          if(buf[0]!=0x01)//本例中的本機(jī)地址設(shè)定為0x01,

          {//如數(shù)據(jù)幀中的地址字節(jié)與本機(jī)地址不符,

          return;//則直接退出,即丟棄本幀數(shù)據(jù)不做任何處理

          }

          //地址相符時(shí),再對本幀數(shù)據(jù)進(jìn)行校驗(yàn)

          crc=GetCRC16(buf,len-2);//計(jì)算CRC校驗(yàn)值

          crch=crc>>8;

          crcl=crc&0xFF;

          if((buf[len-2]!=crch)||(buf[len-1]!=crcl))

          {

          return;//如CRC校驗(yàn)不符時(shí)直接退出

          }

          //地址和校驗(yàn)字均相符后,解析功能碼,執(zhí)行相關(guān)操作

          switch(buf[1])

          {

          case0x03://讀取一個(gè)或連續(xù)的寄存器

          if((buf[2]==0x00)&&(buf[3]<=0x05))//只支持0x0000~0x0005

          {

          if(buf[3]<=0x04)

          {

          i=buf[3];//提取寄存器地址

          cnt=buf[5];//提取待讀取的寄存器數(shù)量

          buf[2]=cnt*2;//讀取數(shù)據(jù)的字節(jié)數(shù),為寄存器數(shù)*2

          len=3;//幀前部已有地址、功能碼、字節(jié)數(shù)共3個(gè)字節(jié)

          while(cnt--)

          {

          buf[len++]=0x00;//寄存器高字節(jié)補(bǔ)0

          buf[len++]=regGroup[i++];//寄存器低字節(jié)

          }

          }

          else//地址0x05為蜂鳴器狀態(tài)

          {

          buf[2]=2;//讀取數(shù)據(jù)的字節(jié)數(shù)

          buf[3]=0x00;

          buf[4]=flagBuzzOn;

          len=5;

          }

          break;

          }

          else//寄存器地址不被支持時(shí),返回錯(cuò)誤碼

          {

          buf[1]=0x83;//功能碼最高位置1

          buf[2]=0x02;//設(shè)置異常碼為02-無效地址

          len=3;

          break;

          }

          case0x06://寫入單個(gè)寄存器

          if((buf[2]==0x00)&&(buf[3]<=0x05))//只支持0x0000~0x0005

          {

          if(buf[3]<=0x04)

          {

          i=buf[3];//提取寄存器地址

          regGroup[i]=buf[5];//保存寄存器數(shù)據(jù)

          cnt=regGroup[i]>>4;//顯示到液晶上

          if(cnt>=0xA)

          str[0]=cnt-0xA+A;

          else

          str[0]=cnt+0;

          cnt=regGroup[i]&0x0F;

          if(cnt>=0xA)

          str[1]=cnt-0xA+A;

          else

          str[1]=cnt+0;

          str[2]=;

          LcdShowStr(i*3,0,str);

          }

          else//地址0x05為蜂鳴器狀態(tài)

          {

          flagBuzzOn=(bit)buf[5];//寄存器值轉(zhuǎn)為蜂鳴器的開關(guān)

          }

          len-=2;//長度-2以重新計(jì)算CRC并返回原幀

          &nb

          break;

          }

          else//寄存器地址不被支持時(shí),返回錯(cuò)誤碼

          {

          buf[1]=0x86;//功能碼最高位置1

          buf[2]=0x02;//設(shè)置異常碼為02-無效地址

          len=3;

          break;

          }

          default://其它不支持的功能碼

          buf[1]|=0x80;//功能碼最高位置1

          buf[2]=0x01;//設(shè)置異常碼為01-無效功能

          len=3;

          break;

          }

          crc=GetCRC16(buf,len);//計(jì)算返回幀的CRC校驗(yàn)值

          buf[len++]=crc>>8;//CRC高字節(jié)

          buf[len++]=crc&0xFF;//CRC低字節(jié)

          UartWrite(buf,len);//發(fā)送返回幀

          }

          /*配置并啟動(dòng)T0,ms-T0定時(shí)時(shí)間*/

          voidConfigTimer0(unsignedintms)

          {

          unsignedlongtmp;//臨時(shí)變量

          tmp=11059200/12;//定時(shí)器計(jì)數(shù)頻率

          tmp=(tmp*ms)/1000;//計(jì)算所需的計(jì)數(shù)值

          tmp=65536-tmp;//計(jì)算定時(shí)器重載值

          tmp=tmp+33;//補(bǔ)償中斷響應(yīng)延時(shí)造成的誤差

          T0RH=(unsignedchar)(tmp>>8);//定時(shí)器重載值拆分為高低字節(jié)

          T0RL=(unsignedchar)tmp;

          TMOD&=0xF0;//清零T0的控制位

          TMOD|=0x01;//配置T0為模式1

          TH0=T0RH;//加載T0重載值

          TL0=T0RL;

          ET0=1;//使能T0中斷

          TR0=1;//啟動(dòng)T0

          }

          /*T0中斷服務(wù)函數(shù),執(zhí)行串口接收監(jiān)控和蜂鳴器驅(qū)動(dòng)*/

          voidInterruptTimer0()interrupt1

          {

          TH0=T0RH;//重新加載重載值

          TL0=T0RL;

          if(flagBuzzOn)//執(zhí)行蜂鳴器鳴叫或關(guān)閉

          BUZZ=~BUZZ;

          else

          BUZZ=1;

          UartRxMonitor(1);//串口接收監(jiān)控

          }

          大家可以看到負(fù)責(zé)解析協(xié)議的UartAction函數(shù)很長,因?yàn)閰f(xié)議解析本來就是一件很繁瑣的事情。我們的例程僅解析執(zhí)行了兩個(gè)功能命令,就已經(jīng)有近百行程序了,如果你需要解析更多的功能命令的話,那么建議把每個(gè)功能都做一個(gè)函數(shù),然后在相應(yīng)的case分支里調(diào)用即可,這樣就不會(huì)使單個(gè)函數(shù)過于龐大而難以維護(hù)。

          1.1練習(xí)題

          1、了解RS485通信以及和RS232的不同用法。

          2、了解Modbus協(xié)議以及RTU數(shù)據(jù)幀的規(guī)則。

          3、寫一個(gè)電子鐘程序,并且可以通過485調(diào)試器校時(shí)。




          評論


          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();