<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計應(yīng)用 > 簡單的JK觸發(fā)器的VHDL程序

          簡單的JK觸發(fā)器的VHDL程序

          作者: 時間:2016-11-30 來源:網(wǎng)絡(luò) 收藏
          簡單的JK觸發(fā)器
          LIBRARY IEEE;
          USE IEEE.STD_LOGIC_1164.ALL;
          ENTITY JKff_1 IS
          PORT (J,K:IN STD_LOGIC;
          clk : IN std_logic;
          Q: out STD_LOGIC);
          end JKff_1;
          ARCHITECTURE behave OF JKff_1 IS
          signal S:STD_LOGIC;
          BEGIN
          S<= (J and (not S)) or (S and (not K)) when clkevent and clk=0 ;
          Q<=S ;
          end behave;


          關(guān)鍵詞: JK觸發(fā)器VHDL程

          評論


          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();