<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設計應用 > 第11課 串口通信

          第11課 串口通信

          作者: 時間:2016-12-01 來源:網絡 收藏
          圖2 方式1的數據幀格式
          在寫程序時,需看懂數據發(fā)送和接收的時序圖,分別如圖3、圖4所示。數據發(fā)送時使用的是單片機的TXD(P31)引腳,接收時使用的單片機的RXD(P30)引腳,這里我們結合這兩個引腳對時序進行分析。
          圖3 方式1發(fā)送時的時序圖
          圖4 方式1數據接收時的時序圖
          首先我們單片機如何發(fā)送和接收一個數據。
          發(fā)送時,數據從TXD(P31)端輸出,當TI=0時,執(zhí)行數據寫入發(fā)送緩沖器SBUFF指令時,就啟動了串行口數據的發(fā)送指令。啟動發(fā)送后,串行口自動在起始位清0,而后是8位數據位和1位停止位,一幀數據是10位。數據依次從TXD端發(fā)出,一幀數據發(fā)送完畢,使TXD端的輸出線維持在1狀態(tài)下,并將SCON寄存器中的TI置1,以便查詢數據是否發(fā)送完畢或作為發(fā)送中斷請求信號。TI必須由軟件清0。
          接收時,數據從RXD(P30)端輸入,SCON的REN位應處于允許接收狀(REN=1)。在此前提下,串行口采樣RXD端,當采樣從1向0的狀態(tài)跳變時,就認定是接收一起始位。隨后在移位脈沖的控制下,把接收到的數據位移入寄存器中,直接停止位到來之后把停止位送入RB8中,并置位中斷標志位RI,通知CPU從SBUF取走接收到的一個字符。
          (2)波特率的設定
          工作方式1的波特率是可變,波特率的產生與定時器1有關,其產生的電路如圖4所示。
          圖4 方式1的波特率產生電路
          以定時器T1作為波特率發(fā)生器使用,其值由定時器1的計數溢出率來決定,其公式為:
          其中,T1溢出率為一次定時時間的倒數,即:
          上式中,X為計數器的初值,M由定時器T1的工作方式所決定,即M=8、13或16,當定時器1作波特率發(fā)生器使用時,一般選用工作方式。之所以選擇工作方式2,是因為它具有自動加載功能,可以避免通過程序的反復裝入初值所引起的定時誤差,使波特率更加穩(wěn)定。因此,對于定時器T1的工作方式2,其溢出率又可簡化為:
          此時,波特率為:
          因此計數初值X的值為;
          例如,設兩機通信的波特率為2400波特,若晶振頻率為12MHZ,串行口工作在方式1,用定時器T1作為波特率發(fā)生器,先定時器工作在方式2(要禁止T1中斷,以免產生不必要的中斷帶來的頻率誤差)。
          若SMOD=1,則計數值X的值為:
          若SMOD=1,則計數值X的值為:
          5程序舉例
          在具體操作前,需對與串行口相關的寄存器作一些設置,主要用來設置跟波特率產生相關的定時器1、串行口工作方式以及中斷的一些設置,具體如下
          (1)確定定時器的工作方式
          (2)計算定時器的初值
          (3)啟動定時器
          (4)設置SCON寄存器
          (5)中斷設置
          例1 串口發(fā)送程序
          例2 串口接收程序
          源代碼詳見:http://www.51hei.com/mcu/2670.html
          上一頁 1 2 3 下一頁

          關鍵詞: 單片機串口通

          評論


          技術專區(qū)

          關閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();