<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 100MHz 數(shù)字存儲(chǔ)示波表樣機(jī)的研究與試制----數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)(三)

          100MHz 數(shù)字存儲(chǔ)示波表樣機(jī)的研究與試制----數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)(三)

          作者: 時(shí)間:2017-06-04 來(lái)源:網(wǎng)絡(luò) 收藏

          3.4.3隨機(jī)采樣

          本文引用地址:http://www.ex-cimer.com/article/201706/348243.htm

          隨機(jī)采樣也是一種等效時(shí)間采樣。它的采樣點(diǎn)是在隨機(jī)時(shí)刻采集的,與觸發(fā)事件無(wú)關(guān)。這些采樣點(diǎn)之間的時(shí)間間隔為一已知的時(shí)間,由采樣時(shí)鐘確定。當(dāng)示波器在等待觸發(fā)事件時(shí),A/D就在連續(xù)的進(jìn)行采樣,并存入FIFO.當(dāng)一個(gè)觸發(fā)事件到來(lái)時(shí),時(shí)間測(cè)量電路就對(duì)觸發(fā)脈沖到觸發(fā)脈沖后第一個(gè)采樣脈沖之間的時(shí)間間隔進(jìn)行測(cè)量。由于采樣間隔是固定的,所以,示波器能得到相對(duì)位置固定的一組采樣點(diǎn)。當(dāng)?shù)谝淮尾杉乃胁蓸狱c(diǎn)存儲(chǔ)完畢之后,就開(kāi)始采集一組新的采樣點(diǎn),并等待新的觸發(fā)事件。新觸發(fā)事件到來(lái)以后,時(shí)間測(cè)量電路又進(jìn)行新的時(shí)間測(cè)量,以此來(lái)排列第一組采樣點(diǎn)和第二組采樣點(diǎn)的相對(duì)位置,并按順序存入存儲(chǔ)器。隨機(jī)采樣的原理圖如圖3-13.

          順序采樣與隨機(jī)采樣都屬于等效時(shí)間采樣,在這兩種取樣方式下,示波器的帶寬稱(chēng)為等效帶寬。它們的共同之處是都只能觀測(cè)周期信號(hào)。但同時(shí)它們也有很大的不同:1.順序采樣的采樣點(diǎn)與觸發(fā)脈沖有△t的延遲時(shí)間關(guān)系,而隨機(jī)采樣的采樣點(diǎn)與觸發(fā)脈沖無(wú)任何關(guān)系,完全是隨機(jī)的。2.順序采樣觸發(fā)后每個(gè)信號(hào)周期只有一個(gè)采樣點(diǎn),而隨機(jī)采樣每個(gè)信號(hào)周期可以獲得一組采樣點(diǎn)。在實(shí)際應(yīng)用中,由于隨機(jī)采樣要計(jì)算擺點(diǎn)的位置,所以它填滿(mǎn)一個(gè)完整的波形所花的時(shí)間要比順序采樣多一些,但隨機(jī)采樣相比于順序采樣最大的優(yōu)點(diǎn)在于能夠提供預(yù)觸發(fā)信息。

          1.隨機(jī)采樣的實(shí)現(xiàn)

          隨機(jī)采樣與實(shí)時(shí)采樣的實(shí)現(xiàn)電路有所不同。實(shí)時(shí)采樣的“+”延遲觸發(fā)計(jì)數(shù)器和“—”延遲觸發(fā)計(jì)數(shù)器是通過(guò)DSP來(lái)設(shè)置計(jì)數(shù)值,而隨機(jī)采樣我們是固定兩個(gè)計(jì)數(shù)器的值為100,也就是說(shuō)觸發(fā)前和觸發(fā)后各采100個(gè)點(diǎn)。隨機(jī)采樣的主要電路結(jié)構(gòu)如圖3-14.

          首先,在每個(gè)寫(xiě)時(shí)鐘(WCLK)檢測(cè)DSP是否發(fā)出FIFO寫(xiě)使能信號(hào)(FIFO_WE)。當(dāng)DSP發(fā)出寫(xiě)FIFO命令時(shí),D觸發(fā)器輸出為“1”。同時(shí),由于計(jì)數(shù)器POS_COUNT100初始時(shí)輸出為“0”,所以經(jīng)反相后輸出為“1”,與D觸發(fā)器的輸出同作為與非門(mén)的輸入,將FIFO寫(xiě)使能(FIFO_WEN)置為“0”。FIFO_WEN經(jīng)反相后作為PRE_COUNT100的計(jì)數(shù)使能信號(hào),計(jì)數(shù)器根據(jù)寫(xiě)時(shí)鐘計(jì)數(shù)。當(dāng)計(jì)滿(mǎn)100個(gè)數(shù)后,Armed變?yōu)楦唠娖?,允許觸發(fā)信號(hào)TR.等待觸發(fā)過(guò)程中,F(xiàn)IFO寫(xiě)時(shí)鐘等于FIFO讀時(shí)鐘。當(dāng)觸發(fā)脈沖到來(lái)時(shí),F(xiàn)IFO讀時(shí)鐘無(wú)效,POS_COUNT100開(kāi)始根據(jù)寫(xiě)時(shí)鐘計(jì)數(shù)。計(jì)滿(mǎn)100個(gè)數(shù)后POS_COUNT100輸出變?yōu)?ldquo;1”,使FIFO寫(xiě)使能無(wú)效,從而結(jié)束一次觸發(fā)一組數(shù)據(jù)的采集。

          2.時(shí)間測(cè)量電路

          要實(shí)現(xiàn)隨機(jī)采樣技術(shù),其關(guān)鍵就是要精確測(cè)量觸發(fā)脈沖與觸發(fā)脈沖后第一個(gè)采樣脈沖之間的△t,只有準(zhǔn)確的測(cè)量出這個(gè)△t才能擺正各次觸發(fā)所采集的采樣點(diǎn)在時(shí)間軸上的時(shí)間關(guān)系,從而保證正確的重現(xiàn)信號(hào)波形。

          項(xiàng)目中,由于實(shí)時(shí)采樣率為100MSPS,且觸發(fā)脈沖和采樣脈沖的出現(xiàn)在時(shí)間軸上具有隨機(jī)性,因此,兩者間的時(shí)間間隔△t很小(0≤△t<10nS),且為0至10nS區(qū)間的任意值,難于對(duì)其進(jìn)行直接的測(cè)量和處理。間接測(cè)量的方法有多種,采用模擬時(shí)間擴(kuò)展器測(cè)量第一采樣脈沖與觸發(fā)脈沖之間的隨機(jī)時(shí)間間隔△t是一種可行的方案。我們采用的模擬擴(kuò)展電路是恒流源雙積分時(shí)間擴(kuò)展電路,它將時(shí)間間隔△t線(xiàn)性放大數(shù)倍(通常放大倍率為250至500倍),形成計(jì)數(shù)時(shí)間閘門(mén),在閘門(mén)時(shí)間內(nèi)對(duì)標(biāo)準(zhǔn)時(shí)鐘源T 0計(jì)數(shù)。然后根據(jù)計(jì)數(shù)結(jié)果確定△t的值。該功能單元的原理框圖由圖3-15所示。

          本系統(tǒng)采用的恒流源雙積分時(shí)間擴(kuò)展電路是利用兩個(gè)恒流源對(duì)一個(gè)電容的快放慢充電,來(lái)實(shí)現(xiàn)對(duì)時(shí)間的展寬:在觸發(fā)脈沖下降沿到來(lái)時(shí)進(jìn)行放電,當(dāng)?shù)谝粋€(gè)采樣脈沖上升沿到來(lái)時(shí)進(jìn)行充電,且放電電流遠(yuǎn)大于充電電流,就可以實(shí)現(xiàn)時(shí)間的展寬。圖3-16為雙斜率電容充電電路時(shí)間展寬工作原理示意圖。

          由圖知,

          即雙斜率積分時(shí)間展寬電路的放大倍數(shù)是放電電流與充電電流之比。而實(shí)際電路的充放電流具有非線(xiàn)性,尤其對(duì)很小的時(shí)間間隔,非線(xiàn)性的影響就非常明顯。另外充放電路輸出的鋸齒波,還要送到比較器以產(chǎn)生所需的計(jì)數(shù)時(shí)間閘門(mén),所以實(shí)際的放大倍數(shù)小于理論計(jì)算出的放大倍數(shù)。

          若DSO的最小時(shí)間分辨率tes =200ps,計(jì)數(shù)脈沖為T(mén)o =10ns,則時(shí)間放大倍數(shù)是K:K= To/tes =50,因此雙斜率積分時(shí)間展寬電路的實(shí)際放大倍數(shù)必須大于50倍。在保證充放電線(xiàn)性的基礎(chǔ)上提高放大倍率顯然有利于提高時(shí)間測(cè)量精度,放大倍率的調(diào)節(jié)可以通過(guò)調(diào)節(jié)充電電流和放電電流的大小實(shí)現(xiàn),還可通過(guò)調(diào)節(jié)比較電壓和電容值來(lái)實(shí)現(xiàn)微調(diào)。

          事實(shí)上,要讓恒流源雙積分時(shí)間擴(kuò)展電路要工作在線(xiàn)性區(qū)△t不能太小,而由上文分析知道0≤△t<10nS,且在[0,10nS)區(qū)間任意取值,這就必然導(dǎo)致有時(shí)模擬擴(kuò)展器不能正常工作。為了解決這一矛盾,我們?cè)凇鱰上插入一個(gè)恒定的時(shí)間間隔T0(T0 =10nS),這樣△t +T0∈[10 nS,20nS)。經(jīng)過(guò)處理后的時(shí)間間隔就可以確保時(shí)間擴(kuò)展器電路工作在線(xiàn)性區(qū)。實(shí)現(xiàn)電路如圖3-17所示。

          如圖所示,TRI_EXP 模塊主要完成△t+10ns 的功能,其仿真圖如圖 3-18。

          COUNT_TRI 模塊主要完成對(duì)模擬展寬后脈沖的計(jì)數(shù)工作。其中,M_TRI_EXP為模擬電路送來(lái)的展寬后脈沖所形成的閘門(mén)信號(hào),用它來(lái)作為計(jì)數(shù)使能控制。同時(shí),用 時(shí)鐘來(lái)對(duì)它進(jìn)行計(jì)數(shù)。TRI_COUNT[15..0]為展寬脈沖的計(jì)數(shù)值,計(jì)數(shù)結(jié)束后將它送入 DSP,做為擺點(diǎn)的依據(jù)。

          3.時(shí)間擴(kuò)展器的校準(zhǔn)

          由于模擬脈沖展寬電路是由一些分離元件設(shè)計(jì)的,工作狀態(tài)易受溫度等外界環(huán)境因素影響,展寬倍數(shù)也因此隨時(shí)在改變,所以很難精確的計(jì)算其展寬倍數(shù)。為了消除K值的變化、比較電平的漂移帶來(lái)的誤差,所以引入校正技術(shù):通過(guò)三次測(cè)量,即先測(cè)To和2To的值,再測(cè)tx+To值進(jìn)行計(jì)算處理。

          (1)當(dāng)t = T0時(shí),擴(kuò)展后得:NsT0=KT0-TH

          計(jì)數(shù)值Ns=(KT0-TH)/TH (A)(其中,TH為無(wú)效擴(kuò)展時(shí)間)

          (2)當(dāng)t = 2T0時(shí),擴(kuò)展后得:NsT0=2KT0-TH

          計(jì)數(shù)值Nr=(KT0-TH)/TH (B)

          (3)當(dāng)t=tx+T0時(shí),擴(kuò)展后得:NsT0=K(tx+T0)-TH

          計(jì)數(shù)值Nx=[(K(tx+T0)-TH)]/TH(C)

          將式(B)減去式(A),得Nr-Ns=K

          將式(C)減去式(A),得Nx-Ns=Ktx/T0,并將上面的K值帶入,得:

          由上式可見(jiàn),通過(guò)對(duì)擴(kuò)展器的校正,完全消除了恒流源和比較電平的變化對(duì)測(cè)量結(jié)果的影響。以上電路可以方便地在FPGA中實(shí)現(xiàn)。

          4.隨機(jī)采樣的顯示

          上面我們已經(jīng)介紹過(guò)本項(xiàng)目中在100ns/div-5ns/div的檔位下采用隨機(jī)采樣技術(shù),而且隨機(jī)采樣的時(shí)候,我們的采樣率固定為.我們知道示波器時(shí)間軸上共有10格,每格25個(gè)點(diǎn)。所以,當(dāng)在5ns/div檔位下的時(shí)候示波器的等效采樣率最高,等于5GSPS(5ns/25 =0.2ns)。在具體實(shí)現(xiàn)隨機(jī)顯示的過(guò)程中,我們采用抽點(diǎn)顯示的方法,即在等效采樣率最高的時(shí)候,采樣足夠多的點(diǎn),然后每個(gè)檔位依此抽點(diǎn)顯示。隨機(jī)采樣時(shí),各檔位的等效采樣率以及抽點(diǎn)個(gè)數(shù)如表3-2.



          評(píng)論


          相關(guān)推薦

          技術(shù)專(zhuān)區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();