<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 全面解析FPGA與DSP,兩者區(qū)別竟然這么大

          全面解析FPGA與DSP,兩者區(qū)別竟然這么大

          作者: 時(shí)間:2017-06-04 來源:網(wǎng)絡(luò) 收藏

          PGA是一種可編程的硅芯片,是數(shù)字信號(hào)處理,當(dāng)系統(tǒng)設(shè)計(jì)人員在項(xiàng)目的架構(gòu)設(shè)計(jì)階段就面臨到底采用還是的重要問題。本文將首先分別介紹的特點(diǎn),然后再從內(nèi)部資源、編程語言、功能多個(gè)角度解析兩者的不同。

          本文引用地址:http://www.ex-cimer.com/article/201706/348641.htm

          1、與DSP的特點(diǎn)

          FPAG的結(jié)構(gòu)特點(diǎn)

          片內(nèi)有大量的邏輯門和觸發(fā)器,多為查找表結(jié)構(gòu),實(shí)現(xiàn)工藝多為SRAM。規(guī)模大,集成度高,處理速度快,執(zhí)行效率高。能完成復(fù)雜的時(shí)序邏輯設(shè)計(jì),且編程靈活,方便,簡單,可多次重復(fù)編程。許多FPAG可無限重復(fù)編程。利用重新配置可減少硬件的開銷。缺點(diǎn)是:掉電后一般會(huì)丟失原有邏輯配置;時(shí)序難規(guī)劃;不能處理多事件;不適合條件操作。

          DSP的結(jié)構(gòu)特點(diǎn)

          1、 采用數(shù)據(jù)和程序分離的哈佛結(jié)構(gòu)和改進(jìn)的哈佛結(jié)構(gòu),執(zhí)行指令速度更快。

          2、 采用流水線技術(shù),減少每條指令執(zhí)行時(shí)間。

          3、 片內(nèi)多總線,可同時(shí)進(jìn)行取指及多個(gè)數(shù)據(jù)存取操作。

          4、 獨(dú)立的累加器及加法器,一個(gè)周期內(nèi)可同時(shí)完成相乘及累加運(yùn)算。

          5、 有DMA通道控制器及串行通信口等,便于數(shù)據(jù)傳送。

          6、 有中斷處理器及定時(shí)控制器,便于構(gòu)成小規(guī)模系統(tǒng)。

          7、 具有軟硬件等待功能,能與各種存儲(chǔ)器接口。

          DSP作為專門的微處理器,主要用于計(jì)算,優(yōu)勢(shì)是軟件的靈活性。適用于條件進(jìn)程,特別是復(fù)雜的多算法任務(wù)。DSP通過匯編或高級(jí)語言(如C語言)進(jìn)行編程,實(shí)時(shí)實(shí)現(xiàn)方案。因此,采用DSP器件的優(yōu)勢(shì)在于:軟件更新速度快,極大地提高了系統(tǒng)的可靠性、通用性、可更換性和靈活性。缺點(diǎn):受到串行指令流的限制;超過幾MHZ的取樣率,一個(gè)DSP 僅能完成對(duì)數(shù)據(jù)非常簡單的運(yùn)算;研發(fā)周期長。

          2、內(nèi)部資源

          FPGA側(cè)重于設(shè)計(jì)具有某個(gè)功能的硬件電路,內(nèi)部資源是VersaTIles(ActelFPGA)之類的微小單元,F(xiàn)PGA的內(nèi)部單元初始在編程前都是使用的是HDL語言實(shí)現(xiàn)硬件電路的設(shè)計(jì)描述。FPGA內(nèi)部的連線資源將這些功能模塊的內(nèi)部和模塊之間的信號(hào)連接起來,構(gòu)成較大的模塊。FPGA可以內(nèi)部實(shí)現(xiàn)ALU,加法器,乘法器,累加器,F(xiàn)IFO,SRAM,DDRcontroller,F(xiàn)FT,HDLC,DMA,PWM等等數(shù)字電路,也就說我們要用其實(shí)現(xiàn)一個(gè)特定的或是通用的硬件功能一個(gè)或是多個(gè)模塊,這些模塊的各個(gè)細(xì)節(jié)都要要用HDL來描述設(shè)計(jì)實(shí)現(xiàn)。

          目前的FPGA都可以直接內(nèi)嵌諸如ARM7,CoretexM1,Core8051等微處理器,用于FPGA的軟核的,也有的FPGA廠商將一些硬件模塊直接做到FPGA中,這些是FPGA內(nèi)部的硬核。傳統(tǒng)的FPGA都是實(shí)現(xiàn)純數(shù)字電路的,業(yè)界只有Actel的FPGA實(shí)現(xiàn)了數(shù)模混合的PSC單芯片技術(shù),真正的提升和擴(kuò)大了FPGA的應(yīng)用功能和領(lǐng)域。

          此外,多數(shù)FPGA都有PLL,DLL之類的鎖相環(huán),Slew可調(diào),Actel的還內(nèi)建了OSC,RTC,Powermanager之類的硬件單元,甚至Actel的Fusion系列還內(nèi)建了600kbps的12bit的ADC以及MOSFETDriver之類模擬接口,內(nèi)部有UserFlashMemeory,F(xiàn)lashROM等資源可以實(shí)現(xiàn)真正的PSC,Bootloader之類的功能。

          DSP主要是算法處理,內(nèi)部資源主要是乘法器,加法器之類的資源,有SPI接口,接口,接受一定的指令集,內(nèi)部的資源基本上都是現(xiàn)成的,需要客戶的需要而重新配置,方便于客戶的使用,但是相對(duì)來講其功能是有局限性的,所以主要用于某些特定的領(lǐng)域。DSP也有內(nèi)嵌的鎖相環(huán),計(jì)數(shù)器,Baudrate發(fā)生器,有的DSP也有ADC模擬接口。

          3、編程語言

          FPGA主要使用HDL,包括VHDl,Verilog,還有數(shù)?;旌系拿枋稣Z言Verilog-AMS等。(課程推薦:FPGA培訓(xùn))

          DSP使用C,匯編語言編程。(課程推薦:C6000 DSP培訓(xùn))

          4、功能角度

          FPGA普遍用于實(shí)現(xiàn)數(shù)字電路模塊,基本上能實(shí)現(xiàn)所有的數(shù)字電路,傳統(tǒng)的數(shù)字功能模塊,以及客戶產(chǎn)品特定需求的數(shù)字處理模塊。FPGA的IO橋接種類繁多,不同種類的級(jí)別的FPGA支持的IO標(biāo)準(zhǔn)和協(xié)議都不盡相同,但是這些IO的驅(qū)動(dòng)能力或是電壓都是可編程配置的。任何數(shù)字功能電路的實(shí)現(xiàn),高速信號(hào)的處理,控制領(lǐng)域的信號(hào)處理,橋轉(zhuǎn)換協(xié)議的實(shí)現(xiàn),Actel的Fusion還能用于電流/電壓檢測(cè),溫度的檢測(cè),MOSFETdriver,電源管理,其獨(dú)特的Flash工藝技術(shù)可以依靠電池供電工作,和掉電實(shí)時(shí)保存數(shù)據(jù),超低功耗,多種工作模式(StaTIc,Sleepmode),尤其IGLOO芯片的功能在Sleepmode下功耗只有5uW。這樣的功耗用于手機(jī),GPS之類的移動(dòng)手持設(shè)備中能發(fā)揮更大的功能應(yīng)用。

          除此之外,用FPGA實(shí)現(xiàn)ASIC的前期的設(shè)計(jì)驗(yàn)證,F(xiàn)PGA實(shí)現(xiàn)DSP的功能,實(shí)現(xiàn)CPU的功能,MCU的功能,內(nèi)存控制器,用于工業(yè)的PWM,SVPWM,Clarke,Park的正逆變換的實(shí)現(xiàn),VGA控制,數(shù)據(jù)的編解碼,解復(fù)用,高達(dá)上Gbps的信號(hào)的處理,協(xié)議的轉(zhuǎn)換實(shí)現(xiàn)等等等等功能,都是DSP難以勝任的。

           

          DSP內(nèi)部有很多現(xiàn)成的硬件模塊和接口以及控制器,但是需要軟件編程設(shè)定,可以實(shí)現(xiàn)PWM控制,接口控制,接口,SPI接口等功能。但是由于受指令集的時(shí)鐘周期的限制,DSP不能處理太高的信號(hào),至于說上Gbps的信號(hào),LVDS之類的信號(hào)就很難以涉及了。所以相應(yīng)的應(yīng)用領(lǐng)域會(huì)有所限制。但是不同的領(lǐng)域客戶的設(shè)計(jì)方案不同,考慮的側(cè)重點(diǎn)不同,有些領(lǐng)域設(shè)計(jì)者也是愛好使用DSP的,諸如算法實(shí)現(xiàn),協(xié)議的處理等等如果換作FPGA來處理那就得不償失。

          5、適用的場(chǎng)合

          DSP適用于系統(tǒng)較低取樣速率、低數(shù)據(jù)率、多條件操作、處理復(fù)雜的多算法任務(wù)、使用C語言編程、系統(tǒng)使用浮點(diǎn)。)適合于較低采樣速率下多條件進(jìn)程、特別是復(fù)雜的多算法任務(wù)。

          FPAG適用于系統(tǒng)高速取樣速率(≥幾MHZ)、高數(shù)據(jù)率、框圖方式編程、處理任務(wù)固定或重復(fù)、使用定點(diǎn)。) 適合于高速采樣頻率下,特別是任務(wù)比較固定或重復(fù)的情況以及試制樣機(jī)、系統(tǒng)開發(fā)的場(chǎng)合。



          關(guān)鍵詞: DSP UART FPGA

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();