<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 基于FPGA和ADS7890的高速AD轉(zhuǎn)換

          基于FPGA和ADS7890的高速AD轉(zhuǎn)換

          作者: 時(shí)間:2017-06-05 來源:網(wǎng)絡(luò) 收藏

          當(dāng)前實(shí)時(shí)測(cè)距技術(shù)有超聲波測(cè)距、激光測(cè)距、雷達(dá)測(cè)距等。在原理上以上幾種測(cè)距方式類似,但克服了其他幾種探測(cè)方式在運(yùn)用中的一些缺點(diǎn)。毫米波雷達(dá)有穩(wěn)定的探測(cè)性能,與光學(xué)相比,它不易受對(duì)象物表面形狀和顏色的影響,而與超聲波、紅外線相比,它不受大氣紊流的影響,且受雨、霧、雪、陽(yáng)光、灰塵等的干擾小。雷達(dá)接收到的信號(hào)為一調(diào)頻連續(xù)波信號(hào),而隨著數(shù)字化的發(fā)展,在檢測(cè)、控制等領(lǐng)域,越來越多的模擬信號(hào)需要轉(zhuǎn)換成數(shù)字信號(hào)進(jìn)行處理。即是將輸入的模擬信號(hào)以二進(jìn)制數(shù)字輸出的過程,根據(jù)香農(nóng)(Shannon)定理:如果隨時(shí)間變化的模擬信號(hào)的最高頻率為ω,只要按照采樣頻率ωs≥2ωmax進(jìn)行采樣,那么取出的樣品系列(f1*(t)、f2*(t),…)就足以代表(或恢復(fù))f(t)。其主要包括采樣、保持、量化和編程4個(gè)過程。

          本文引用地址:http://www.ex-cimer.com/article/201706/348994.htm

          對(duì)應(yīng)特定的應(yīng)用,要求不同,在高頻雷達(dá)設(shè)計(jì)中,要求有較高的轉(zhuǎn)換速度,才能實(shí)時(shí)測(cè)距;而最終雷達(dá)測(cè)距的精度,與AD轉(zhuǎn)換、FFT的位數(shù)有直接關(guān)系。一些自帶AD的單片機(jī)不僅數(shù)據(jù)處理速度慢,且AD位數(shù)也達(dá)不到要求,故本設(shè)計(jì)采用基于平臺(tái),利用ADS78 90實(shí)現(xiàn)快速、高精度的模數(shù)轉(zhuǎn)換。

          1 系統(tǒng)硬件電路

          系統(tǒng)主要總體結(jié)構(gòu)如圖1所示。

          該系統(tǒng)采用ALTERA公司的芯片EP2C35F672C6為控制核心,對(duì)ADS7890進(jìn)行控制及轉(zhuǎn)換結(jié)果數(shù)據(jù)處理。雷達(dá)測(cè)距可采用雷達(dá)IVS-167,它是Innosent公司推出的一款I(lǐng)VS(Innosent VCO stereo)系列的K-波段帶VCO的雷達(dá)收發(fā)器。由于采用平面微帶天線結(jié)構(gòu),且收發(fā)天線合一,使得其外形十分小巧,在工作中不僅節(jié)能省電,而且非常易于集成于各種電路,也易于在安裝環(huán)境中構(gòu)建模塊保護(hù)設(shè)施。

          在本設(shè)計(jì)中,以雷達(dá)接收信號(hào)為模擬信號(hào)輸入,通過功放電路使輸入信號(hào)達(dá)到AD轉(zhuǎn)換芯片要求,再利用產(chǎn)生時(shí)序控制ADS7890串行發(fā)送數(shù)字信號(hào)的開始、結(jié)束,并對(duì)接收到的數(shù)據(jù)處理后通過led顯示結(jié)果。

          1.1 芯片ADS7890

          ADS7890是一種高位快速AD轉(zhuǎn)換芯片,包含2.5 V內(nèi)部參考電壓的模擬14位串行AD轉(zhuǎn)換器,其最高采樣率為1.25 MSPS,具有48個(gè)引腳,可作為SPI或DSP接口。芯片中含省電設(shè)備,當(dāng)轉(zhuǎn)換速度較低時(shí)進(jìn)入省電模式。芯片可應(yīng)用于光電傳感器、電機(jī)電流/電壓傳感器、醫(yī)療檢測(cè)儀器、高速數(shù)據(jù)采集系統(tǒng)等。

          ADS7890的基本外圍電路如圖2所示。模擬地與數(shù)字地分開。、SDO 5個(gè)引腳與控制芯片相連。


          1.2 簡(jiǎn)介

          FPGA(現(xiàn)場(chǎng)可編程門陣列)作為可編程邏輯器件,是在PAL等邏輯器件的基礎(chǔ)上發(fā)展而來,其規(guī)模比較大,可以代替幾百塊通用IC芯片。它的結(jié)構(gòu)主要由3部分組成:一個(gè)二維的邏輯塊陣列,構(gòu)成了其邏輯組成核心;輸入/輸出塊;連接邏輯塊的互連資源。隨著超大規(guī)模集成電路工藝的不斷提高,F(xiàn)PGA的規(guī)模也越來越大,它的單片邏輯門數(shù)已可達(dá)上百萬門,功能也不斷增強(qiáng)。用戶可以在其基礎(chǔ)上簡(jiǎn)單快捷的完成設(shè)計(jì)。本設(shè)計(jì)采用芯片EP2C35F672C6。

          使用FPGA設(shè)計(jì)數(shù)字系統(tǒng)電路主要有如下特點(diǎn):

          1)設(shè)計(jì)靈活FPGA是由存放在片內(nèi)RAM中的程序來設(shè)置其工作狀態(tài)的,因此,工作時(shí)需要對(duì)片內(nèi)的RAM進(jìn)行編程。用戶可以根據(jù)不同的配置模式,采用不同的編程方式。

          2)集成度高 一片F(xiàn)PGA可代替幾片、幾十片乃至上百片中小規(guī)模的數(shù)字集成電路芯片。

          3)工作速度快FPGA的設(shè)計(jì)思想是并行的設(shè)計(jì)思想,而不是順序執(zhí)行的軟件思想,這樣在設(shè)計(jì)上就大大提高了系統(tǒng)的工作速度。

          4)降低成本 隨著FPGA的工藝發(fā)展,F(xiàn)PGA已經(jīng)克服自身價(jià)位高的缺點(diǎn),具有較高的性價(jià)比。

          2 系統(tǒng)軟件設(shè)計(jì)

          FPGA的基本控制時(shí)序圖如圖3所示。FS位為數(shù)據(jù)幀格式調(diào)節(jié),其為高時(shí)為SPI模式,置低時(shí)為DSP模式,此設(shè)計(jì)用于SPI,將FS置高。CS下降沿觸發(fā)ADS7890發(fā)送數(shù)據(jù),在SCLK上升沿發(fā)送一位數(shù)據(jù),14個(gè)脈沖對(duì)應(yīng)AD轉(zhuǎn)換的14位結(jié)果,之后用1、2個(gè)SCLK周期作為延時(shí),以保證AD結(jié)果正確性。設(shè)置一位BUSY作為忙標(biāo)志,置高后不接受數(shù)據(jù)。設(shè)置一復(fù)位位RESRT。SDO為數(shù)據(jù)傳輸位。

          編程設(shè)計(jì)采用VHDL語言。VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)、行為、功能和接口。除了含有許多硬件特征的語句外,VHDL的語言形式和描述風(fēng)格與句法十分類似于一般的計(jì)算機(jī)高級(jí)語言。一個(gè)完整的VHDL語言程序通常含有5個(gè)部分:實(shí)體(Entity)、結(jié)構(gòu)體(ArcbAtecture)、配置(Configuration)、程序包(Package)和庫(kù)(Library)。

          源程序中的結(jié)構(gòu)體定義如下。注意ADS7890的輸入對(duì)應(yīng)的是EP2C35F672C6的輸出。


          3 結(jié)論

          隨著數(shù)字化的發(fā)展,AD轉(zhuǎn)換在各個(gè)領(lǐng)域得到了充分的應(yīng)用,而對(duì)其的要求也越來越高。本設(shè)計(jì)采用高集成度的FPGA為硬件平臺(tái),實(shí)現(xiàn)對(duì)高分辨率模數(shù)轉(zhuǎn)換芯片ADS7890的控制應(yīng)用,經(jīng)實(shí)際檢驗(yàn)具有較高轉(zhuǎn)換精度,對(duì)快速變化的輸入信號(hào)具有反應(yīng)靈敏,系統(tǒng)測(cè)試準(zhǔn)確、穩(wěn)定可靠。



          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();