<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 基于FPGA和DDS技術(shù)的軟件無線電可控?cái)?shù)字調(diào)制器的設(shè)計(jì)

          基于FPGA和DDS技術(shù)的軟件無線電可控?cái)?shù)字調(diào)制器的設(shè)計(jì)

          作者: 時(shí)間:2017-06-05 來源:網(wǎng)絡(luò) 收藏

          引言

          本文引用地址:http://www.ex-cimer.com/article/201706/349228.htm

            是在無線通信領(lǐng)域提出的一種新的通信系統(tǒng)體系結(jié)構(gòu),其核心思想是以開放性、標(biāo)準(zhǔn)化、模塊化的硬件為通用平臺(tái),通過在平臺(tái)加載不同軟件來實(shí)現(xiàn)對(duì)工作頻段、調(diào)制解調(diào)、信道多址方式等無線功能的靈活配置。而調(diào)制解調(diào)技術(shù)是的主要組成部分。直接數(shù)字合成技術(shù)()具有較高的頻率分辨率,可實(shí)現(xiàn)快速的頻率切換,能夠保持相位的連續(xù)性,很容易實(shí)現(xiàn)幅度、頻率和相位的數(shù)控調(diào)制。目前,調(diào)制技術(shù)多采用具有調(diào)制功能的專用芯片或可編程器件和專用芯片相結(jié)合的方法實(shí)現(xiàn),靈活性并不是很強(qiáng)。

            基于此,本系統(tǒng)在分析原理的基礎(chǔ)上,詳述了一種基于FPGA的DSP技術(shù)和技術(shù)的適合于軟件無線電使用的可控?cái)?shù)字調(diào)制器的設(shè)計(jì)過程,并在系統(tǒng)中進(jìn)行了功能驗(yàn)證。此調(diào)制器以FPGA硬件平臺(tái)為核心,可實(shí)現(xiàn)ASK,F(xiàn)SK,PSK,QAM等調(diào)制方式,靈活性強(qiáng)。

            1 數(shù)字調(diào)制和DDS基本概述

            在數(shù)字通信系統(tǒng)中,為了使數(shù)字信號(hào)能在帶限信道中傳輸,就必須將編碼后的信號(hào)進(jìn)行數(shù)字調(diào)制。在此,主要分析和實(shí)現(xiàn)二進(jìn)制單極性不歸零碼的鍵控調(diào)制。常見的二進(jìn)制調(diào)制方式有ASK,F(xiàn)SK,PSK,QAM等。

            直接數(shù)字合成(DDS)器具有數(shù)控頻率合成的功能,它以數(shù)控振蕩器的方式產(chǎn)生頻率、相位和幅度可控的正弦波,電路主要由相位累加器、相位調(diào)制器、正弦ROM查找表、系統(tǒng)時(shí)鐘、D/A、LPF等組成。本文中用DDS產(chǎn)生的正弦波作為載波,具有精確、靈活、便于集成等優(yōu)點(diǎn)。DDS原理基本結(jié)構(gòu)圖如圖1所示。

          使用DDS結(jié)構(gòu)易實(shí)現(xiàn)頻率調(diào)制、相位調(diào)制和幅度調(diào)制,以DDS作為載波信號(hào)發(fā)生部分,具有頻率穩(wěn)定度高,頻率轉(zhuǎn)換速度快,輸出帶寬寬,頻率分辨率高等特點(diǎn)。

            2 基于FPGA的調(diào)制器的具體設(shè)計(jì)

            該設(shè)計(jì)借助QuartusⅡ7.2和Matlab/DSP Builder 7.2開發(fā)環(huán)境,在FPGA硬件平臺(tái)上實(shí)現(xiàn),最大限度的實(shí)現(xiàn)了集成化。圖2是該設(shè)計(jì)的FPGA系統(tǒng)原理框圖,數(shù)字功能模塊全部集成在一片F(xiàn)PGA上,實(shí)現(xiàn)了SoPC的設(shè)計(jì)思想。

          該設(shè)計(jì)由按鍵輸入、二進(jìn)制基帶信號(hào)產(chǎn)生、數(shù)字調(diào)制和顯示等模塊組成,其功能是由按鍵輸入設(shè)定值,同時(shí)在LED上進(jìn)行顯示,并根據(jù)設(shè)定值對(duì)二進(jìn)制基帶信號(hào)進(jìn)行相應(yīng)的數(shù)字調(diào)制,產(chǎn)生調(diào)制信號(hào)輸出?,F(xiàn)將各模塊的具體設(shè)計(jì)和功能描述如下:

            按鍵輸入模塊 輸入采用按鍵和撥碼開關(guān)實(shí)現(xiàn),通過復(fù)用的方式用于選擇調(diào)制方式,輸入信號(hào)頻率和幅度。在FPGA內(nèi)部設(shè)計(jì)了一個(gè)按鍵接口模塊,用于處理和存儲(chǔ)輸入的設(shè)定值,輸出到LED顯示,并輸出相應(yīng)的控制信號(hào)和調(diào)制模塊所需的載波頻率和幅度控制字等。

            二進(jìn)制基帶信號(hào)產(chǎn)生模塊 該設(shè)計(jì)中的基帶信號(hào)由一個(gè)基于DDS的矩形脈沖發(fā)生器和偽隨機(jī)序列M序列發(fā)生器產(chǎn)生。碼元速率可通過按鍵模塊輸入頻率字到矩形脈沖發(fā)生器控制輸出脈沖頻率,從而控制M序列輸出頻率來實(shí)現(xiàn)。當(dāng)然,作為調(diào)制器,二進(jìn)制數(shù)字基帶信號(hào)是由外部輸入的。本模塊是為了驗(yàn)證系統(tǒng)功能和需要滿足系統(tǒng)產(chǎn)生單純的數(shù)字調(diào)制信號(hào)時(shí)而設(shè)計(jì)的,具體見系統(tǒng)原理圖中juxing_signal和m_array_exa-mple模塊。

            數(shù)字調(diào)制模塊 數(shù)字調(diào)制模塊是整個(gè)系統(tǒng)的核心部分,包括ASK,F(xiàn)SK,PSK和QAM等數(shù)字調(diào)制方式。本模塊以DDS為核心模型,采用Quart-usⅡ7.2和Matlab/DSP Builder7.2進(jìn)行硬件的軟件化設(shè)計(jì),具體見系統(tǒng)原理圖中tiaozhi_example,QAM_tiaozhi_example和BUSMAX模塊。系統(tǒng)主要由時(shí)鐘和復(fù)位輸入端(CLOCK和SW[0])、調(diào)制方式選擇輸入端(SW[1],SW[2]和SW[3])、基帶信號(hào)輸入端(jidai_signal,jidai_sign-all,jidai_signal2)和頻率幅度字輸入端(freql[31..O],freq2[31..O],freq4[31..0]和amp[17..O])組成。頻率和幅度字由按鍵輸入實(shí)際頻率和幅度值經(jīng)過按鍵接口模塊處理后,轉(zhuǎn)化為32位頻率字和18位幅度字,從而控制模塊產(chǎn)生所需頻率和幅度的載波信號(hào)。基帶信號(hào)輸入端接收被調(diào)制信號(hào),被調(diào)制信號(hào)根據(jù)所選擇的調(diào)制方式在模塊內(nèi)部進(jìn)行相應(yīng)調(diào)制后輸出到模塊輸出端。

            3 系統(tǒng)仿真和硬件實(shí)現(xiàn)與測試

            在進(jìn)行系統(tǒng)各模塊設(shè)計(jì)的時(shí)候,為了防止在設(shè)計(jì)最后集成系統(tǒng)的時(shí)候出現(xiàn)功能錯(cuò)誤,難于排查和修改,在設(shè)計(jì)過程中對(duì)各模塊的功能正確性都進(jìn)行了軟件仿真。

            系統(tǒng)中的ASK,F(xiàn)SK,PSK和QAM波形仿真依次如圖3和圖4所示。



          在確保各模塊在獨(dú)立狀態(tài)下均能正確工作后,在QuartusⅡ7.2中進(jìn)行系統(tǒng)集成,并對(duì)集成后的系統(tǒng)進(jìn)行再次的整體仿真。接著,便可以進(jìn)行功能測試。在功能測試中使用嵌入式邏輯分析儀SignalTapⅡ進(jìn)行測試分析。在分析儀中進(jìn)行相應(yīng)的設(shè)置后,把它隨設(shè)計(jì)文件一起下載入目標(biāo)芯片中,用以捕捉芯片內(nèi)部信號(hào)節(jié)點(diǎn)處的信號(hào),并通過USB接口把數(shù)據(jù)傳回計(jì)算機(jī),并顯示。以下是具體的測試過程,選擇相應(yīng)功能控制開關(guān),用按鍵輸入所需的載波頻率值和幅度值,通過LED顯示出來。相應(yīng)的測試波形如圖5所示。

          2ASK功能測試(SW[1]=0,SW[2]=O,SW[3]=O):載波頻率由freql輸入設(shè)置,當(dāng)基帶碼元為高電平1時(shí),輸出正弦信號(hào);當(dāng)為低電平0時(shí),輸出一個(gè)常數(shù)值,從而實(shí)現(xiàn)2ASK調(diào)制。

            2FSK功能測試(SW[1]=O,SW[2]=1,SW[3]=1):載波信號(hào)頻率由freql和freq2輸入設(shè)置,當(dāng)基帶信號(hào)碼元為高電平1時(shí),輸出頻率為freql正弦波信號(hào);當(dāng)為低電平0時(shí),輸出頻率為freq2正弦波信號(hào),從而實(shí)現(xiàn)了2FSK調(diào)制。

            2PSK功能測試(SW[1]=0,SW[2]=O,SW[3]=1):載波信號(hào)頻率由freql輸入設(shè)置,但同時(shí)控制了正弦查找表和余弦查找表,當(dāng)基帶信號(hào)碼元為高電平1時(shí),控制正弦表輸出正弦波信號(hào);當(dāng)為低電平0時(shí),輸出余弦波信號(hào),從而實(shí)現(xiàn)了2PSK調(diào)制。

            QAM功能測試(SW[1]=1,SW[2]=1,SW[3]=0):載波信號(hào)頻率由freq3輸入設(shè)置,同時(shí)控制了正弦查找表和余弦查找表,兩查找表信號(hào)相互正交,兩路基帶信號(hào)碼元分別乘上正余弦查找表輸出信號(hào),然后將輸出信號(hào)進(jìn)行疊加,從而實(shí)現(xiàn)了QAM調(diào)制。

            4 結(jié)語

            該設(shè)計(jì)完全在一片F(xiàn)PGA芯片上完成,減少了硬件的搭構(gòu),具有很高的集成度。采用DDS技術(shù)為核心,使載波信號(hào)獲得了較高的性能。借助強(qiáng)大的開發(fā)工具和FPGA的可重復(fù)編程及動(dòng)態(tài)重構(gòu)特性,使設(shè)計(jì)更具靈活性和通用性。系統(tǒng)設(shè)計(jì)了按鍵輸入和處理模塊,能根據(jù)需要變更載波頻率,并通過LED直觀顯示出來。重點(diǎn)對(duì)調(diào)制模型進(jìn)行了設(shè)計(jì),并進(jìn)行了系統(tǒng)級(jí)仿真和最后的硬件功能測試,達(dá)到了設(shè)計(jì)的具體要求。



          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();