<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設計應用 > 基于FPGA的鍵盤掃描模塊的設計

          基于FPGA的鍵盤掃描模塊的設計

          作者: 時間:2017-06-06 來源:網(wǎng)絡 收藏

          引言

          本文引用地址:http://www.ex-cimer.com/article/201706/349544.htm

          在現(xiàn)代的個人計算機系統(tǒng)中,一般都采用通用的標準鍵盤(:標準101/102鍵盤或Microsoft自然PS/2鍵盤)來實現(xiàn)人與計算機之間的接口交互,從而將需要的各種數(shù)據(jù)和指令等信息都通過鍵盤來輸人計算機川。

          但是,各種嵌人式系統(tǒng)(:手機、微波爐、電風扇等)所需的鍵盤的按鍵個數(shù)非常有限,通常為幾個到十幾個不等‘而標準鍵盤通常為二百多個按鍵),并且每個按鍵所代表的功能含義也各不相同。所以,每一種嵌人式系統(tǒng)都應對鍵盤(包括鍵盤掃描模塊和相關控制信號等)進行專門設計,以便結合工程實際情況充分利用該系統(tǒng)已有的各種資源,使所設計的鍵盤很好地融合到嵌人式系統(tǒng)中。

          鍵盤掃描的基本知識

          1.編碼鍵盤和掃描鍵盤

          在數(shù)字電路中,可以利用編碼器實現(xiàn)按鍵鍵值的直接編碼,以將每個按鍵的輸出信號對應連接到編碼器的每一個輸人端。這樣,通過編碼邏輯就可以在編碼器的輸出端得到對應于每個按鍵的碼值,早期稱這種鍵盤為編碼鍵盤。但是當按鍵較多時,數(shù)碼邏輯的成本就較高,并且直接編碼的方法也不夠靈活,而且一旦編碼邏輯固定就難于更改。

          當通用鍵盤上或按鍵數(shù)量較多時,普遍采用掃描方式產(chǎn)生鍵值。因此,可將按鍵連接成矩陣,每個按鍵位于某行、某列的交點上位口圖1所示),先通過掃描方式確定按下鍵的行列位置,稱為位置碼或掃描碼。再查表將位置碼轉換為按鍵碼值或者直接使用掃描碼,有些參考書稱此為“非編碼鍵盤”。但這種名稱容易讓人誤解為沒有對應的鍵值,因此又稱為掃描式鍵盤。

          1行列式掃描健盤示意圖

          2.硬件掃描鍵盤與軟件掃描鍵盤

          如果執(zhí)行掃描的過程由硬件邏輯實現(xiàn),則將這種鍵盤稱為硬件掃描鍵盤或電子掃描式編碼鍵盤。在執(zhí)行鍵盤掃描時應注意一個問題,即鍵在閉合過程中往往存在一些難以避免的機械性,使輸出信號也發(fā)生,通常達1020毫秒寬。若不避開區(qū),則可能誤認為多次按鍵。因此應該設置硬件延時電路,延遲數(shù)十毫秒后再讀取鍵值,這種電路稱為去抖電路〕還應注意一個問題,即當前一個鍵值還未送出,而又有按鍵按下時,后邊的鍵值將覆蓋前邊的鍵值,造成鍵值丟失問題。通??梢栽O置一控制信號.僅當前一鍵值送出后才允許產(chǎn)生后一鍵值,或者設置一組寄存器來保存前邊若十個鍵值,等待系統(tǒng)逐個按順序處理。

          硬件掃描鍵盤的優(yōu)點是不需要主機擔負掃描任務,儀當產(chǎn)生鍵值后才向主機發(fā)出中斷請求,CPU以相應中斷方式接收按鍵鍵值,或者CPU定時從某個地址獲取按鍵鍵值。該方式大大減輕了CPU的運行負荷,使其能以更多的時間去運行其它程序。

          當然也可以執(zhí)行鍵盤掃描程序,由CPU通過軟件方法對鍵盤進行掃描。圖2便是一種鍵盤掃描程序的流程圖,這種鍵盤稱為軟件掃描鍵盤。按鍵時,鍵盤向主機提出中斷請求。由軟件掃描鍵盤來獲得按鍵鍵值;或者由CPU定期執(zhí)行鍵盤掃描程序,從而獲得按鍵鍵值。即在掃描方法稱為逐行掃描法,當有鍵按下時,首先獲得此鍵的列值,然后逐行掃描就可以判斷出按鍵所在的行值。這樣,由行列值就可以通過轉換得到按鍵鍵值。當然,要在執(zhí)行鍵盤掃描過程中加人一定的延時,以去除抖動影響。

          2一種盤婦描租序流程圈

          如果系統(tǒng)對CPU的運行速度要求較高,并且CPU的負荷較重、系統(tǒng)資源比較緊張,則可以在鍵盤中設置一個單片機,由它負責執(zhí)行鍵盤掃描程序,然后向CPU申請中斷并送出掃描碼或者按鍵鍵值?,F(xiàn)代計算機的通用鍵盤.大多采用這樣的鍵盤掃描方法。

          基于的設計方法

          1. 實現(xiàn)方法分析

          根據(jù)項目的實際需要.擬實現(xiàn)的鍵盤掃描模塊應具有如下特點:

          I)該模塊應能實時地將掃描所得的鍵值信息寫人存儲器指定地址,其中鍵值信息包括同一按鍵的重復次數(shù)和鍵值,系統(tǒng)軟件則應能定時從該地址讀取鍵值信息以執(zhí)行相應的操作

          2)鍵盤去抖動所采用的方法是多次掃描法,當連續(xù)幾次掃描到同一鍵值時就認為此鍵被按下了,這樣就完成了去抖動操作。

          3)由于本項目不需要AS(:I I字符編碼按鍵,故應將按鍵值設計為120直接送出。

          4)為了能表示長時間按鍵的操作,當確定某一按鍵按下時.以后梅隔一定時間才掃描一次,若獲得同一鍵值,則將按鍵重復次數(shù)加一同時將重復次數(shù)和鍵值組合成鍵值信急送出;并在延遲一定時間后再次掃描……

          2.基于的具體實現(xiàn)

          本文擬采用四個模塊來實現(xiàn)鍵盤掃描功能,分別是即時掃描模塊、掃描控制模塊、掃描脈沖模塊和鍵值傳送模塊。圖3是其模塊工作流程。

          3實現(xiàn)的模塊結構

          (1)即時掃描模塊

          輸人信號:

          CLKSMHZ:時鐘信號,用于產(chǎn)生時序;

          KB_IN[3:0]:由鍵盤矩陣送來的檢測信號;

          SCAN:掃描指示信號,每一個正脈沖到來時執(zhí)行一次鍵盤掃描

          輸出信號:

          KB OU T[4:O]:輸出到鍵盤的控制信號,以便從鍵盤矩陣取得相應的信

          號并進行檢測;

          VALUE[7:0]:掃描所得的即時鍵值輸出到掃描控制模塊,以便進行抖動。

          模塊功能描述:

          本模塊用于完成鍵盤即時掃描功能當檢測到SCAN信號有一個窄脈沖到來時,模塊內部將產(chǎn)生一組相應的KB_ OUT信號并輸出到鍵盤矩陣,然后再對KB_ IN輸人信號進行檢測,以判斷有無鍵被按下以及哪個鍵被按下,同時送出相應的即時鍵值VALUE。

          (2)掃描控制模塊

          輸人信號:

          CLKSOHZ:時鐘信一號,用于產(chǎn)生時序;

          V ALUE[7:0]:由即時掃描模塊送來的即時鍵值;

          CNT[4:0]:來自掃描脈沖模塊的計數(shù)器值,用于對掃描進行延時。

          輸出信號:

          KEYVALUES[15:0]:此值為去抖動后的鍵值與按鍵重復次數(shù)組合而成的數(shù)據(jù);

          CTRL:掃描使能信號.高電平為使能,低電平為禁止。

          模塊功能描述:

          本模塊用于控制是否允許進行掃描以及完成鍵盤去抖動等。在無鍵按下時,CTRL置高電平以允許掃描;當連續(xù)幾次掃描到同一個按鍵時,則認為此鍵被按下了(已經(jīng)完成了去抖動操作)。然后CTRL置低電平以禁止掃描同時開始延時,當CNT達到某一數(shù)值時,重新進行掃描并再次延時。

          同時將按鍵重復次數(shù)和鍵值VALUE組合成KEYVALUES并輸出。以此類推,直到無鍵按下或檢測到其他的鍵值。

          (3)掃描脈沖模塊

          輸人信號:

          CLK50HZ:時鐘信號,用于產(chǎn)生時序;

          CLKSMHZ:時鐘信號,用于產(chǎn)生時序;

          CTRL:掃描使能信號,高電平為使能,低電平為禁止。

          輸出信號:

          SCAN:掃描指示信號,每輸出一個正脈沖執(zhí)行一次鍵盤掃描;

          CNT[4:O]:掃描延時計數(shù)器,用于對掃描進行延時。

          模塊功能描述:

          本模塊的主要功能是產(chǎn)生掃描指示窄脈沖和掃描延時計數(shù)。當CTRL為高電平時,在每個CLK50HZ的上升沿送出一個SCAN窄脈沖;CTRL為低電平時,延時計數(shù)器開始計數(shù),當達到某一特定值時,則送出一次掃描脈沖信號SCANo

          (4)健值傳送模塊

          輸人信號:

          SYSCLK:系統(tǒng)時鐘信號,用于產(chǎn)生時序;

          KEYVALUES[15:0]:此值為去抖動后的鍵值與按鍵重復次數(shù)組合而成的數(shù)據(jù)。

          輸出信號:

          WRITE_ N:存貯器的寫使能信號,低電平有效;

          ADDRESS[31:0存貯器的鍵值信息寫人地址,為恒定值;

          KEYVALUE[ 15:0]:要寫人存貯器的鍵值信息,其中包括按鍵重復次數(shù)和鍵值。

          模塊功能描述:

          本模塊負責把鍵值信息寫入存貯器,供應用軟件定時查詢。當且僅當輸人端KEYVALUES的值發(fā)生改變時.才會發(fā)起一次存貯器寫操作。

          結束語

          將此模塊用VHDL硬件描述語言予以實現(xiàn),然后經(jīng)過功能仿真.再利用QUARTUS II軟件進行編譯并下載到ALTERACYCLONE 1C20開發(fā)板上后.經(jīng)過對鍵盤的實際操作檢驗證明,此模塊可以正常地實現(xiàn)鍵盤掃描、去抖動等功能。同時,若對該模塊稍加修改,同樣可以移植到其他嵌人式系統(tǒng)中,這樣可以大大減輕CPU的運行負荷,因此,本設計對大多數(shù)對系統(tǒng)資源比較敏感的嵌人式系統(tǒng)來說,具有很強的現(xiàn)實意義。



          評論


          相關推薦

          技術專區(qū)

          關閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();