<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 嵌入式系統(tǒng)基礎(chǔ)知識(shí)及接口技術(shù)總結(jié)

          嵌入式系統(tǒng)基礎(chǔ)知識(shí)及接口技術(shù)總結(jié)

          作者: 時(shí)間:2017-10-14 來源:網(wǎng)絡(luò) 收藏

            本文主要介紹的一些基礎(chǔ)知識(shí),從基礎(chǔ),包括的定義、嵌入式系統(tǒng)的組成、實(shí)時(shí)系統(tǒng)、邏輯電路基礎(chǔ)以及兩方面介紹,希望對(duì)各位有幫助。

          本文引用地址:http://www.ex-cimer.com/article/201710/366378.htm

            嵌入式系統(tǒng)基礎(chǔ)

            1、嵌入式系統(tǒng)的定義

           ?。?)定義:以應(yīng)用為中心,以計(jì)算機(jī)技術(shù)為基礎(chǔ),軟硬件可裁剪,適應(yīng)應(yīng)用系統(tǒng)對(duì)功能、可靠性、成本、體積、功耗嚴(yán)格要求的專用計(jì)算機(jī)系統(tǒng)。

           ?。?)嵌入式系統(tǒng)發(fā)展的4個(gè)階段:無操作系統(tǒng)階段、簡(jiǎn)單操作系統(tǒng)階段、實(shí)時(shí)操作系統(tǒng)階段、面向Internet階段。

           ?。?)知識(shí)產(chǎn)權(quán)核(IP核):具有知識(shí)產(chǎn)權(quán)的、功能具體、接口規(guī)范、可在多個(gè)集成電路設(shè)計(jì)中重復(fù)使用的功能模塊,是實(shí)現(xiàn)系統(tǒng)芯片(SOC)的基本構(gòu)件。

           ?。?)IP核模塊有行為、結(jié)構(gòu)和物理3級(jí)不同程度的設(shè)計(jì),對(duì)應(yīng)描述功能行為的不同可以分為三類:軟核、固核、硬核。

            2、嵌入式系統(tǒng)的組成

            包含:硬件層、中間層、系統(tǒng)軟件層和應(yīng)用軟件層

            (1)硬件層:嵌入式微處理器、存儲(chǔ)器、通用設(shè)備接口和I/O接口。

            嵌入式核心模塊=微處理器+電源電路+時(shí)鐘電路+存儲(chǔ)器

            Cache:位于主存和嵌入式微處理器內(nèi)核之間,存放的是最近一段時(shí)間微處理器使用最多的程序代碼和數(shù)據(jù)。它的主要目標(biāo)是減小存儲(chǔ)器給微處理器內(nèi)核造成的存儲(chǔ)器訪問瓶頸,使處理速度更快。

           ?。?)中間層(也稱為硬件抽象層HAL或者板級(jí)支持包BSP)。

            它將系統(tǒng)上層軟件和底層硬件分離開來,使系統(tǒng)上層軟件開發(fā)人員無需關(guān)系底層硬件的具體情況,根據(jù)BSP層提供的接口開發(fā)即可。

            BSP有兩個(gè)特點(diǎn):硬件相關(guān)性和操作系統(tǒng)相關(guān)性。

            設(shè)計(jì)一個(gè)完整的BSP需要完成兩部分工作:

            A、 嵌入式系統(tǒng)的硬件初始化和BSP功能。

            片級(jí)初始化:純硬件的初始化過程,把嵌入式微處理器從上電的默認(rèn)狀態(tài)逐步設(shè)置成系統(tǒng)所要求的工作狀態(tài)。

            板級(jí)初始化:包含軟硬件兩部分在內(nèi)的初始化過程,為隨后的系統(tǒng)初始化和應(yīng)用程序建立硬件和軟件的運(yùn)行環(huán)境。

            系統(tǒng)級(jí)初始化:以軟件為主的初始化過程,進(jìn)行操作系統(tǒng)的初始化。

            B、 設(shè)計(jì)硬件相關(guān)的設(shè)備驅(qū)動(dòng)。

           ?。?)系統(tǒng)軟件層:由RTOS、文件系統(tǒng)、GUI、網(wǎng)絡(luò)系統(tǒng)及通用組件模塊組成。

            RTOS是嵌入式應(yīng)用軟件的基礎(chǔ)和開發(fā)平臺(tái)。

           ?。?)應(yīng)用軟件:由基于實(shí)時(shí)系統(tǒng)開發(fā)的應(yīng)用程序組成。

            3、實(shí)時(shí)系統(tǒng)

           ?。?)定義:能在指定或確定的時(shí)間內(nèi)完成系統(tǒng)功能和對(duì)外部或內(nèi)部、同步或異步時(shí)間做出響應(yīng)的系統(tǒng)。

           ?。?)區(qū)別:通用系統(tǒng)一般追求的是系統(tǒng)的平均響應(yīng)時(shí)間和用戶的使用方便;而實(shí)時(shí)系統(tǒng)主要考慮的是在最壞情況下的系統(tǒng)行為。

            (3)特點(diǎn):時(shí)間約束性、可預(yù)測(cè)性、可靠性、與外部環(huán)境的交互性。

           ?。?)硬實(shí)時(shí)(強(qiáng)實(shí)時(shí)):指應(yīng)用的時(shí)間需求應(yīng)能夠得到完全滿足,否則就造成重大安全事故,甚至造成重大的生命財(cái)產(chǎn)損失和生態(tài)破壞,如:航天、軍事。

           ?。?)軟實(shí)時(shí)(弱實(shí)時(shí)):指某些應(yīng)用雖然提出了時(shí)間的要求,但實(shí)時(shí)任務(wù)偶爾違反這種需求對(duì)系統(tǒng)運(yùn)行及環(huán)境不會(huì)造成嚴(yán)重影響,如:監(jiān)控系統(tǒng)、實(shí)時(shí)信息采集系統(tǒng)。

           ?。?)任務(wù)的約束包括:時(shí)間約束、資源約束、執(zhí)行順序約束和性能約束。

            4、實(shí)時(shí)系統(tǒng)的調(diào)度

            (1)調(diào)度:給定一組實(shí)時(shí)任務(wù)和系統(tǒng)資源,確定每個(gè)任務(wù)何時(shí)何地執(zhí)行的整個(gè)過程。

            (2)搶占式調(diào)度:通常是優(yōu)先級(jí)驅(qū)動(dòng)的調(diào)度,如uCOS。優(yōu)點(diǎn)是實(shí)時(shí)性好、反應(yīng)快,調(diào)度算法相對(duì)簡(jiǎn)單,可以保證高優(yōu)先級(jí)任務(wù)的時(shí)間約束;缺點(diǎn)是上下文切換多。

           ?。?)非搶占式調(diào)度:通常是按時(shí)間片分配的調(diào)度,不允許任務(wù)在執(zhí)行期間被中斷,任務(wù)一旦占用處理器就必須執(zhí)行完畢或自愿放棄,如WinCE。優(yōu)點(diǎn)是上下文切換少;缺點(diǎn)是處理器有效資源利用率低,可調(diào)度性不好。

           ?。?)靜態(tài)表驅(qū)動(dòng)策略:系統(tǒng)在運(yùn)行前根據(jù)各任務(wù)的時(shí)間約束及關(guān)聯(lián)關(guān)系,采用某種搜索策略生成一張運(yùn)行時(shí)刻表,指明各任務(wù)的起始運(yùn)行時(shí)刻及運(yùn)行時(shí)間。

            (5)優(yōu)先級(jí)驅(qū)動(dòng)策略:按照任務(wù)優(yōu)先級(jí)的高低確定任務(wù)的執(zhí)行順序。

           ?。?)實(shí)時(shí)任務(wù)分類:周期任務(wù)、偶發(fā)任務(wù)、非周期任務(wù)。

           ?。?)實(shí)時(shí)系統(tǒng)的通用結(jié)構(gòu)模型:數(shù)據(jù)采集任務(wù)實(shí)現(xiàn)傳感器數(shù)據(jù)的采集,數(shù)據(jù)處理任務(wù)處理采集的數(shù)據(jù)、并將加工后的數(shù)據(jù)送到執(zhí)行機(jī)構(gòu)管理任務(wù)控制機(jī)構(gòu)執(zhí)行。

            5、嵌入式微處理器體系結(jié)構(gòu)

           ?。?)馮諾依曼結(jié)構(gòu):程序和數(shù)據(jù)共用一個(gè)存儲(chǔ)空間,程序指令存儲(chǔ)地址和數(shù)據(jù)存儲(chǔ)地址指向同一個(gè)存儲(chǔ)器的不同物理位置,采用單一的地址及數(shù)據(jù)總線,程序和數(shù)據(jù)的寬度相同。例如:8086、ARM7、MIPS…

           ?。?)哈佛結(jié)構(gòu):程序和數(shù)據(jù)是兩個(gè)相互獨(dú)立的存儲(chǔ)器,每個(gè)存儲(chǔ)器獨(dú)立編址、獨(dú)立訪問,是一種將程序存儲(chǔ)和數(shù)據(jù)存儲(chǔ)分開的存儲(chǔ)器結(jié)構(gòu)。例如:AVR、ARM9、ARM10…

            (3)CISC與RISC的特點(diǎn)比較。

            計(jì)算機(jī)執(zhí)行程序所需要的時(shí)間P可以用下面公式計(jì)算:

            P=I×CPI×T

            I:高級(jí)語言程序編譯后在機(jī)器上運(yùn)行的指令數(shù)。

            CPI:為執(zhí)行每條指令所需要的平均周期數(shù)。

            T:每個(gè)機(jī)器周期的時(shí)間。

           ?。?)流水線的思想:在CPU中把一條指令的串行執(zhí)行過程變?yōu)槿舾芍噶畹淖舆^程在CPU中重疊執(zhí)行。

            (5)流水線的指標(biāo):

            吞吐率:?jiǎn)挝粫r(shí)間里流水線處理機(jī)流出的結(jié)果數(shù)。如果流水線的子過程所用時(shí)間不一樣長,則吞吐率應(yīng)為最長子過程的倒數(shù)。

            建立時(shí)間:流水線開始工作到達(dá)最大吞吐率的時(shí)間。若m個(gè)子過程所用時(shí)間一樣,均為t,則建立時(shí)間T=mt。

           ?。?)信息存儲(chǔ)的字節(jié)順序

            A、存儲(chǔ)器單位:字節(jié)(8位)

            B、字長決定了微處理器的尋址能力,即虛擬地址空間的大小。

            C、32位微處理器的虛擬地址空間位232,即4GB。

            D、小端字節(jié)順序:低字節(jié)在內(nèi)存低地址處,高字節(jié)在內(nèi)存高地址處。

            E、大端字節(jié)順序:高字節(jié)在內(nèi)存低地址處,低字節(jié)在內(nèi)存高地址處。

            F、網(wǎng)絡(luò)設(shè)備的存儲(chǔ)順序問題取決于OSI模型底層中的數(shù)據(jù)鏈路層。

            6、邏輯電路基礎(chǔ)

            (1)根據(jù)電路是否具有存儲(chǔ)功能,將邏輯電路劃分為:組合邏輯電路和時(shí)序邏輯電路。

            (2)組合邏輯電路:電路在任一時(shí)刻的輸出,僅取決于該時(shí)刻的輸入信號(hào),而與輸入信號(hào)作用前電路的狀態(tài)無關(guān)。常用的邏輯電路有譯碼器和多路選擇器等。

           ?。?)時(shí)序邏輯電路:電路任一時(shí)刻的輸出不僅與該時(shí)刻的輸入有關(guān),而且還與該時(shí)刻電路的狀態(tài)有關(guān)。因此,時(shí)序電路中必須包含記憶元件。觸發(fā)器是構(gòu)成時(shí)序邏輯電路的基礎(chǔ)。常用的時(shí)序邏輯電路有寄存器和計(jì)數(shù)器等。

           ?。?)真值表、布爾代數(shù)、摩根定律、門電路的概念。

           ?。?)NOR(或非)和NAND(與非)的門電路稱為全能門電路,可以實(shí)現(xiàn)任何一種邏輯函數(shù)。

           ?。?)譯碼器:多輸入多輸出的組合邏輯網(wǎng)絡(luò)。

            每輸入一個(gè)n位的二進(jìn)制代碼,在m個(gè)輸出端中最多有一個(gè)有效。

            當(dāng)m=2n是,為全譯碼;當(dāng)m《2n時(shí),為部分譯碼。

           ?。?)由于集成電路的高電平輸出電流小,而低電平輸出電流相對(duì)比較大,采用集成門電路直接驅(qū)動(dòng)LED時(shí),較多采用低電平驅(qū)動(dòng)方式。液晶七段字符顯示器LCD利用液晶有外加電場(chǎng)和無外加電場(chǎng)時(shí)不同的光學(xué)特性來顯示字符。

           ?。?)時(shí)鐘信號(hào)是時(shí)序邏輯的基礎(chǔ),它用于決定邏輯單元中的狀態(tài)合適更新。同步是時(shí)鐘控制系統(tǒng)中的主要制約條件。

           ?。?)在選用觸發(fā)器的時(shí)候,觸發(fā)方式是必須考慮的因素。觸發(fā)方式有兩種:

            電平觸發(fā)方式:具有結(jié)構(gòu)簡(jiǎn)單的有點(diǎn),常用來組成暫存器。

            邊沿觸發(fā)方式:具有很強(qiáng)的抗數(shù)據(jù)端干擾能力,常用來組成寄存器、計(jì)數(shù)器等。

            7、總線電路及信號(hào)驅(qū)動(dòng)

           ?。?)總線是各種信號(hào)線的集合,是嵌入式系統(tǒng)中各部件之間傳送數(shù)據(jù)、地址和控制信息的公共通路。在同一時(shí)刻,每條通路線路上能夠傳輸一位二進(jìn)制信號(hào)。按照總線所傳送的信息類型,可以分為:數(shù)據(jù)總線(DB)、地址總線(AB)和控制總線(CB)。

           ?。?)總線的主要參數(shù):

            總線帶寬:一定時(shí)間內(nèi)總線上可以傳送的數(shù)據(jù)量,一般用MByte/s表示。

            總線寬度:總線能同時(shí)傳送的數(shù)據(jù)位數(shù)(bit),即人們常說的32位、64位等總線寬度的概念,也叫總線位寬??偩€的位寬越寬,總線每秒數(shù)據(jù)傳輸率越大,也就是總線帶寬越寬。

            總線頻率:工作時(shí)鐘頻率以MHz為單位,工作頻率越高,則總線工作速度越快,也即總線帶寬越寬。

            總線帶寬 = 總線位寬×總線頻率/8, 單位是MBps。

            常用總線:ISA總線、PCI總線、IIC總線、SPI總線、PC104總線和CAN總線等。

           ?。?)只有具有三態(tài)輸出的設(shè)備才能夠連接到數(shù)據(jù)總線上,常用的三態(tài)門為輸出緩沖器。

           ?。?)當(dāng)總線上所接的負(fù)載超過總線的負(fù)載能力時(shí),必須在總線和負(fù)載之間加接緩沖器或驅(qū)動(dòng)器,最常用的是三態(tài)緩沖器,其作用是驅(qū)動(dòng)和隔離。

           ?。?)采用總線復(fù)用技術(shù)可以實(shí)現(xiàn)數(shù)據(jù)總線和地址總線的共用。但會(huì)帶來兩個(gè)問題:

            A、需要增加外部電路對(duì)總線信號(hào)進(jìn)行復(fù)用解耦,例如:地址鎖存器。

            B、總線速度相對(duì)非復(fù)用總線系統(tǒng)低。

           ?。?)兩類總線通信協(xié)議:同步方式、異步方式。

           ?。?)對(duì)總線仲裁問題的解決是以優(yōu)先級(jí)(優(yōu)先權(quán))的概念為基礎(chǔ)。

            8、電平轉(zhuǎn)換電路

           ?。?)數(shù)字集成電路可以分為兩大類:雙極型集成電路(TTL)、金屬氧化物半導(dǎo)體(MOS)。

            (2)CMOS電路由于其靜態(tài)功耗極低,工作速度較高,抗干擾能力較強(qiáng),被廣泛使用。

            (3)解決TTL與CMOS電路接口困難的辦法是在TTL電路輸出端與電源之間接一上拉電阻R,上拉電阻R的取值由TTL的高電平輸出漏電流IOH來決定,不同系列的TTL應(yīng)選用不同的R值。

            9、可編程邏輯器件基礎(chǔ)

            這方面的內(nèi)容,從總體上有個(gè)概念性的認(rèn)識(shí)應(yīng)該就可以了。

            10、嵌入式系統(tǒng)中信息表示與運(yùn)算基礎(chǔ)

           ?。?)進(jìn)位計(jì)數(shù)制與轉(zhuǎn)換:這樣比較簡(jiǎn)單,也應(yīng)該掌握怎么樣進(jìn)行換算,有出題的可能。

           ?。?)計(jì)算機(jī)中數(shù)的表示:源碼、反碼與補(bǔ)碼。

            正數(shù)的反碼與源碼相同,負(fù)數(shù)的反碼為該數(shù)的源碼除符號(hào)位外按位取反。

            正數(shù)的補(bǔ)碼與源碼相同,負(fù)數(shù)的補(bǔ)碼為該數(shù)的反碼加一。

            例如-98的源碼:11100010B

            反碼:10011101B

            補(bǔ)碼:10011110B

           ?。?)定點(diǎn)表示法:數(shù)的小數(shù)點(diǎn)的位置人為約定固定不變。

            浮點(diǎn)表示法:數(shù)的小數(shù)點(diǎn)位置是浮動(dòng)的,它由尾數(shù)部分和階數(shù)部分組成。

            任意一個(gè)二進(jìn)制N總可以寫成:N=2P×S。S為尾數(shù),P為階數(shù)。

            (4)漢字表示法,搞清楚GB2318-80中國標(biāo)碼和機(jī)內(nèi)碼的變換。

           ?。?)語音編碼中波形量化參數(shù)(可能會(huì)出簡(jiǎn)單的計(jì)算題目哦)

            采樣頻率:一秒內(nèi)采樣的次數(shù),反映了采樣點(diǎn)之間的間隔大小。

            人耳的聽覺上限是20kHz,因此40kHz以上的采樣頻率足以使人滿意。

            CD唱片采用的采樣頻率是44.1kHz。

            測(cè)量精度:樣本的量化等級(jí),目前標(biāo)準(zhǔn)采樣量級(jí)有8位和16位兩種。

            聲道數(shù):?jiǎn)温暤篮土Ⅲw聲雙道。立體聲需要兩倍的存儲(chǔ)空間。

            11、差錯(cuò)控制編碼

           ?。?)根據(jù)碼組的功能,可以分為檢錯(cuò)碼和糾錯(cuò)碼兩類。檢錯(cuò)碼是指能自動(dòng)發(fā)現(xiàn)差錯(cuò)的碼,例如奇偶檢驗(yàn)碼;糾錯(cuò)碼是指不僅能發(fā)現(xiàn)差錯(cuò)而且能自動(dòng)糾正差錯(cuò)的碼,例如循環(huán)冗余校驗(yàn)碼。

           ?。?)奇偶檢驗(yàn)碼、海明碼、循環(huán)冗余校驗(yàn)碼(CRC)。

            12、嵌入式系統(tǒng)的度量項(xiàng)目

            (1)性能指標(biāo):分為部件性能指標(biāo)和綜合性能指標(biāo),主要包括:吞吐率、實(shí)時(shí)性和各種利用率。

           ?。?)可靠性與安全性

            可靠性是嵌入式系統(tǒng)最重要、最突出的基本要求,是一個(gè)嵌入式系統(tǒng)能正常工作的保證,一般用平均故障間隔時(shí)間MTBF來度量。

            (3)可維護(hù)性:一般用平均修復(fù)時(shí)間MTTR表示。

           ?。?)可用性

            (5)功耗

           ?。?)環(huán)境適應(yīng)性

           ?。?)通用性

            (8)安全性

           ?。?)保密性

           ?。?0)可擴(kuò)展性

            性價(jià)比中的價(jià)格,除了直接購買嵌入式系統(tǒng)的價(jià)格外,還應(yīng)包含安裝費(fèi)用、若干年的運(yùn)行維修費(fèi)用和軟件租用費(fèi)。

            13、嵌入式系統(tǒng)的評(píng)價(jià)方法:測(cè)量法和模型法

           ?。?)測(cè)量法是最直接最基本的方法,需要解決兩個(gè)問題:

            A、根據(jù)研究的目的,確定要測(cè)量的系統(tǒng)參數(shù)。

            B、選擇測(cè)量的工具和方式。

           ?。?)測(cè)量的方式有兩種:采樣方式和事件跟蹤方式。

            (3)模型法分為分析模型法和模擬模型法。分析模型法是用一些數(shù)學(xué)方程去刻畫系統(tǒng)的模型,而模擬模型法是用模擬程序的運(yùn)行去動(dòng)態(tài)表達(dá)嵌入式系統(tǒng)的狀態(tài),而進(jìn)行系統(tǒng)統(tǒng)計(jì)分析,得出性能指標(biāo)。

           ?。?)分析模型法中使用最多的是排隊(duì)模型,它包括三個(gè)部分:輸入流、排隊(duì)規(guī)則和服務(wù)機(jī)構(gòu)。

           ?。?)使用模型對(duì)系統(tǒng)進(jìn)行評(píng)價(jià)需要解決3個(gè)問題:設(shè)計(jì)模型、解模型、校準(zhǔn)和證實(shí)模型。

            

            1. Flash存儲(chǔ)器

           ?。?)Flash存儲(chǔ)器是一種非易失性存儲(chǔ)器,根據(jù)結(jié)構(gòu)的不同可以將其分為NOR Flash和NAND Flash兩種。

           ?。?)Flash存儲(chǔ)器的特點(diǎn):

            A、區(qū)塊結(jié)構(gòu):在物理上分成若干個(gè)區(qū)塊,區(qū)塊之間相互獨(dú)立。

            B、先擦后寫:Flash的寫操作只能將數(shù)據(jù)位從1寫成0,不能從0寫成1,所以在對(duì)存儲(chǔ)器進(jìn)行寫入之前必須先執(zhí)行擦除操作,將預(yù)寫入的數(shù)據(jù)位初始化為1。擦除操作的最小單位是一個(gè)區(qū)塊,而不是單個(gè)字節(jié)。

            C、操作指令:執(zhí)行寫操作,它必須輸入一串特殊指令(NOR Flash)或者完成一段時(shí)序(NAND Flash)才能將數(shù)據(jù)寫入。

            D、位反轉(zhuǎn):由于Flash的固有特性,在讀寫過程中偶爾會(huì)產(chǎn)生一位或幾位的數(shù)據(jù)錯(cuò)誤。位反轉(zhuǎn)無法避免,只能通過其他手段對(duì)結(jié)果進(jìn)行事后處理。

            E、壞塊:區(qū)塊一旦損壞,將無法進(jìn)行修復(fù)。對(duì)已損壞的區(qū)塊操作其結(jié)果不可預(yù)測(cè)。

            (3)NOR Flash的特點(diǎn):

            應(yīng)用程序可以直接在閃存內(nèi)運(yùn)行,不需要再把代碼讀到系統(tǒng)RAM中運(yùn)行。NOR Flash的傳輸效率很高,在1MB~4MB的小容量時(shí)具有很高的成本效益,但是很低的寫入和擦除速度大大影響了它的性能。

            (4)NAND Flash的特點(diǎn)

            能夠提高極高的密度單元,可以達(dá)到高存儲(chǔ)密度,并且寫入和擦除的速度也很快,這也是為何所有的U盤都使用NAND Flash作為存儲(chǔ)介質(zhì)的原因。應(yīng)用NAND Flash的困難在于閃存需要特殊的系統(tǒng)接口。

           ?。?)NOR Flash與NAND Flash的區(qū)別:

            A、NOR Flash的讀速度比NAND Flash稍快一些。

            B、NAND Flash的擦除和寫入速度比NOR Flash快很多

            C、NAND Flash的隨機(jī)讀取能力差,適合大量數(shù)據(jù)的連續(xù)讀取。

            D、NOR Flash帶有SRAM接口,有足夠的地址引進(jìn)來尋址,可以很容易地存取其內(nèi)部的每一個(gè)字節(jié)。NAND Flash的地址、數(shù)據(jù)和命令共用8位總線(有寫公司的產(chǎn)品使用16位),每次讀寫都要使用復(fù)雜的I/O接口串行地存取數(shù)據(jù)。

            E、NOR Flash的容量一般較小,通常在1MB~8MB之間;NAND Flash只用在8MB以上的產(chǎn)品中。因此,NOR Flash只要應(yīng)用在代碼存儲(chǔ)介質(zhì)中,NAND Flash適用于資料存儲(chǔ)。

            F、NAND Flash中每個(gè)塊的最大擦寫次數(shù)是一百萬次,而NOR Flash是十萬次。

            G、NOR Flash可以像其他內(nèi)存那樣連接,非常直接地使用,并可以在上面直接運(yùn)行代碼;NAND Flash需要特殊的I/O接口,在使用的時(shí)候,必須先寫入驅(qū)動(dòng)程序,才能繼續(xù)執(zhí)行其他操作。因?yàn)樵O(shè)計(jì)師絕不能向壞塊寫入,這就意味著在NAND Flash上自始至終必須進(jìn)行虛擬映像。

            H、NOR Flash用于對(duì)數(shù)據(jù)可靠性要求較高的代碼存儲(chǔ)、通信產(chǎn)品、網(wǎng)絡(luò)處理等領(lǐng)域,被成為代碼閃存;NAND Flash則用于對(duì)存儲(chǔ)容量要求較高的MP3、存儲(chǔ)卡、U盤等領(lǐng)域,被成為數(shù)據(jù)閃存。

            2、RAM存儲(chǔ)器

           ?。?)SRAM的特點(diǎn):

            SRAM表示靜態(tài)隨機(jī)存取存儲(chǔ)器,只要供電它就會(huì)保持一個(gè)值,它沒有刷新周期,由觸發(fā)器構(gòu)成基本單元,集成度低,每個(gè)SRAM存儲(chǔ)單元由6個(gè)晶體管組成,因此其成本較高。它具有較高速率,常用于高速緩沖存儲(chǔ)器。

            通常SRAM有4種引腳:

            CE:片選信號(hào),低電平有效。

            R/W:讀寫控制信號(hào)。

            ADDRESS:一組地址線。

            DATA:用于數(shù)據(jù)傳輸?shù)囊唤M雙向信號(hào)線。

            (2)DRAM的特點(diǎn):

            DRAM表示動(dòng)態(tài)隨機(jī)存取存儲(chǔ)器。這是一種以電荷形式進(jìn)行存儲(chǔ)的半導(dǎo)體存儲(chǔ)器。它的每個(gè)存儲(chǔ)單元由一個(gè)晶體管和一個(gè)電容器組成,數(shù)據(jù)存儲(chǔ)在電容器中。電容器會(huì)由于漏電而導(dǎo)致電荷丟失,因而DRAM器件是不穩(wěn)定的。它必須有規(guī)律地進(jìn)行刷新,從而將數(shù)據(jù)保存在存儲(chǔ)器中。

            DRAM的接口比較復(fù)雜,通常有一下引腳:

            CE:片選信號(hào),低電平有效。

            R/W:讀寫控制信號(hào)。

            RAS:行地址選通信號(hào),通常接地址的高位部分。

            CAS:列地址選通信號(hào),通常接地址的低位部分。

            ADDRESS:一組地址線。

            DATA:用于數(shù)據(jù)傳輸?shù)囊唤M雙向信號(hào)線。

           ?。?)SDRAM的特點(diǎn):

            SDRAM表示同步動(dòng)態(tài)隨機(jī)存取存儲(chǔ)器。同步是指內(nèi)存工作需要同步時(shí)鐘,內(nèi)部的命令發(fā)送與數(shù)據(jù)的傳輸都以它為基準(zhǔn);動(dòng)態(tài)是指存儲(chǔ)器陣列需要不斷的刷新來保證數(shù)據(jù)不丟失。它通常只能工作在133MHz的主頻。

            (4)DDRAM的特點(diǎn)

            DDRAM表示雙倍速率同步動(dòng)態(tài)隨機(jī)存取存儲(chǔ)器,也稱DDR。DDRAM是基于SDRAM技術(shù)的,SDRAM在一個(gè)時(shí)鐘周期內(nèi)只傳輸一次數(shù)據(jù),它是在時(shí)鐘的上升期進(jìn)行數(shù)據(jù)傳輸;而DDR內(nèi)存則是一個(gè)時(shí)鐘周期內(nèi)傳輸兩次次數(shù)據(jù),它能夠在時(shí)鐘的上升期和下降期各傳輸一次數(shù)據(jù)。在133MHz的主頻下,DDR內(nèi)存帶寬可以達(dá)到133×64b/8×2=2.1GB/s。

            3、硬盤、光盤、CF卡、SD卡

            4、GPIO原理與結(jié)構(gòu)

            GPIO是I/O的最基本形式,它是一組輸入引腳或輸出引腳。有些GPIO引腳能夠加以編程改變工作方向,通常有兩個(gè)控制寄存器:數(shù)據(jù)寄存器和數(shù)據(jù)方向寄存器。數(shù)據(jù)方向寄存器設(shè)置端口的方向。如果將引腳設(shè)置為輸出,那么數(shù)據(jù)寄存器將控制著該引腳狀態(tài)。若將引腳設(shè)置為輸入,則此輸入引腳的狀態(tài)由引腳上的邏輯電路層來實(shí)現(xiàn)對(duì)它的控制。

            5、A/D接口

           ?。?)A/D轉(zhuǎn)換器是把電模擬量轉(zhuǎn)換為數(shù)字量的電路。實(shí)現(xiàn)A/D轉(zhuǎn)換的方法有很多,常用的方法有計(jì)數(shù)法、雙積分法和逐次逼進(jìn)法。

           ?。?)計(jì)數(shù)式A/D轉(zhuǎn)換法

            其電路主要部件包括:比較器、計(jì)數(shù)器、D/A轉(zhuǎn)換器和標(biāo)準(zhǔn)電壓源。

            其工作原理簡(jiǎn)單來說就是,有一個(gè)計(jì)數(shù)器,從0開始進(jìn)行加1計(jì)數(shù),每進(jìn)行一次加1,該數(shù)值作為D/A轉(zhuǎn)換器的輸入,其產(chǎn)生一個(gè)比較電壓VO與輸入模擬電壓VIN進(jìn)行比較。如果VO小于VIN則繼續(xù)進(jìn)行加1計(jì)數(shù),直到VO大于VIN,這時(shí)計(jì)數(shù)器的累加數(shù)值就是A/D轉(zhuǎn)換器的輸出值。

            這種轉(zhuǎn)換方式的特點(diǎn)是簡(jiǎn)單,但是速度比較慢,特別是模擬電壓較高時(shí),轉(zhuǎn)換速度更慢。例如對(duì)于一個(gè)8位A/D轉(zhuǎn)換器,若輸入模擬量為最大值,計(jì)數(shù)器要從0開始計(jì)數(shù)到255,做255次D/A轉(zhuǎn)換和電壓比較的工作,才能完成轉(zhuǎn)換。

           ?。?)雙積分式A/D轉(zhuǎn)換法

            其電路主要部件包括:積分器、比較器、計(jì)數(shù)器和標(biāo)準(zhǔn)電壓源。

            其工作原理是,首先電路對(duì)輸入待測(cè)電壓進(jìn)行固定時(shí)間的積分,然后換為標(biāo)準(zhǔn)電壓進(jìn)行固定斜率的反向積分,反向積分進(jìn)行到一定時(shí)間,便返回起始值。由于使用固定斜率,對(duì)標(biāo)準(zhǔn)電壓進(jìn)行反向積分的時(shí)間正比于輸入模擬電壓值,輸入模擬電壓越大,反向積分回到起始值的時(shí)間越長。只要用標(biāo)準(zhǔn)的高頻時(shí)鐘脈沖測(cè)定反向積分花費(fèi)的時(shí)間,就可以得到相應(yīng)于輸入模擬電壓的數(shù)字量,也就完成了A/D轉(zhuǎn)換。

            其特點(diǎn)是,具有很強(qiáng)的抗工頻干擾能力,轉(zhuǎn)換精度高,但轉(zhuǎn)換速度慢,通常轉(zhuǎn)換頻率小于10Hz,主要用于數(shù)字式測(cè)試儀表、溫度測(cè)量等方面。

           ?。?)逐次逼近式A/D轉(zhuǎn)換法

            其電路主要部件包括:比較器、D/A轉(zhuǎn)換器、逐次逼近寄存器和基準(zhǔn)電壓源。

            其工作原理是,實(shí)質(zhì)上就是對(duì)分搜索法,和平時(shí)天平的使用原理一樣。在進(jìn)行A/D轉(zhuǎn)換時(shí),由D/A轉(zhuǎn)換器從高位到低位逐位增加轉(zhuǎn)換位數(shù),產(chǎn)生不同的輸出電壓,把輸入電壓與輸出電壓進(jìn)行比較而實(shí)現(xiàn)。首先使最高位為1,這相當(dāng)于取出基準(zhǔn)電壓的1/2與輸入電壓比較,如果在輸入電壓小于1/2的基準(zhǔn)電壓,則最高位置0,反之置1。之后,次高位置1,相當(dāng)于在1/2的范圍中再作對(duì)分搜索,以此類推,逐次逼近。

            其特點(diǎn)是,速度快,轉(zhuǎn)換精度高,對(duì)N位A/D轉(zhuǎn)換器只需要M個(gè)時(shí)鐘脈沖即可完成,一般可用于測(cè)量幾十到幾百微秒的過渡過程的變化,是目前應(yīng)用最普遍的轉(zhuǎn)換方法。

           ?。?)A/D轉(zhuǎn)換的重要指標(biāo)(有可能考一些簡(jiǎn)單的計(jì)算)

            A、分辨率:反映A/D轉(zhuǎn)換器對(duì)輸入微小變化響應(yīng)的能力,通常用數(shù)字輸出最低位(LSB)所對(duì)應(yīng)的模擬電壓的電平值表示。n位A/D轉(zhuǎn)換器能反映1/2n滿量程的模擬輸入電平。

            B、量程:所能轉(zhuǎn)換的模擬輸入電壓范圍,分為單極性和雙極性兩種類型。

            C、轉(zhuǎn)換時(shí)間:完成一次A/D轉(zhuǎn)換所需要的時(shí)間,其倒數(shù)為轉(zhuǎn)換速率。

            D、精度:精度與分辨率是兩個(gè)不同的概念,即使分辨率很高,也可能由于溫漂、線性度等原因使其精度不夠高。精度有絕對(duì)精度和相對(duì)精度兩種表示方法。通常用數(shù)字量的最低有效位LSB的分?jǐn)?shù)值來表示絕對(duì)精度,用其模擬電壓滿量程的百分比來表示相對(duì)精度。

            例如,滿量程10V,10位A/D芯片,若其絕對(duì)精度為±1/2LSB,則其最小有效位LSB的量化單位為:10/1024=9.77mv,其絕對(duì)精度為9.77mv/2=4.88mv,相對(duì)精度為:0.048%。

            6、D/A接口基本

            (1)D/A轉(zhuǎn)換器使將數(shù)字量轉(zhuǎn)換為模擬量。

           ?。?)在集成電路中,通常采用T型網(wǎng)絡(luò)實(shí)現(xiàn)將數(shù)字量轉(zhuǎn)換為模擬電流,再由運(yùn)算放大器將模擬電路轉(zhuǎn)換為模擬電壓。進(jìn)行D/A轉(zhuǎn)換實(shí)際上需要上面的兩個(gè)環(huán)節(jié)。

            (3)D/A轉(zhuǎn)換器的分類:

            A、電壓輸出型:常作為高速D/A轉(zhuǎn)換器。

            B、電流輸出型:一般外接運(yùn)算放大器使用。

            C、乘算型:可用作調(diào)制器和使輸入信號(hào)數(shù)字化地衰減。

           ?。?)D/A轉(zhuǎn)換器的主要指標(biāo):分辨率、建立時(shí)間、線性度、轉(zhuǎn)換精度、溫度系數(shù)。

            7、鍵盤接口

           ?。?)鍵盤的兩種形式:線性鍵盤和矩陣鍵盤。

           ?。?)識(shí)別鍵盤上的閉合鍵通常有兩種方法:行掃描法和行反轉(zhuǎn)法。

            (3)行掃描法是矩陣鍵盤按鍵常用的識(shí)別方法,此方法分為兩步進(jìn)行:

            A、識(shí)別鍵盤哪一列的鍵被按下:讓所有行線均為低電平,查詢各列線電平是否為低,如果有列線為低,則說明該列有按鍵被按下,否則說明無按鍵按下。

            B、如果某列有按鍵按下,識(shí)別鍵盤是哪一行按下:逐行置低電平,并置其余各行為高電平,查詢各列的變化,如果列電平變?yōu)榈碗娖剑瑒t可確定此行此列交叉點(diǎn)處按鍵被按下。

            8、顯示接口

            (1)LCD的基本原理是,通過給不同的液晶單元供電,控制其光線的通過與否,從而達(dá)到顯示的目的。

           ?。?)LCD的光源提供方式有兩種:投射式和反射式。筆記本電腦的LCD顯示器為投射式,屏的背后有一個(gè)光源,因此外界環(huán)境可以不需要光源。一般微控制器上使用的LCD為反射式,需要外界提供電源,靠反射光來工作。電致發(fā)光(EL)是液晶屏提供光源的一種方式。

           ?。?)按照液晶驅(qū)動(dòng)方式分類,常見的LCD可以分為三類:扭轉(zhuǎn)向列類(TN)、超扭曲向列型(STN)和薄膜晶體管型(TFT)。

           ?。?)市面上出售的LCD有兩種類型:帶有驅(qū)動(dòng)電路的LCD顯示模塊,只要總線方式驅(qū)動(dòng);沒有驅(qū)動(dòng)電路的LCD顯示器,使用控制器掃描方式。

           ?。?)通常,LCD控制器工作的時(shí)候,通過DMA請(qǐng)求總線,直接通過SDRAM控制器讀取SDRAM中指定地址(顯示緩沖區(qū))的數(shù)據(jù),此數(shù)據(jù)經(jīng)過LCD控制器轉(zhuǎn)換成液晶屏掃描數(shù)據(jù)格式,直接驅(qū)動(dòng)液晶顯示器。

           ?。?)VGA接口本質(zhì)上是一個(gè)模擬接口,一般都采用統(tǒng)一的15引腳接口,包括2個(gè)NC信號(hào)、3根顯示器數(shù)據(jù)總線、5個(gè)GND信號(hào)、3個(gè)RGB色彩分量、1個(gè)行同步信號(hào)和1個(gè)場(chǎng)同步信號(hào)。其色彩分量采用的電平標(biāo)準(zhǔn)為EIA定義的RS343標(biāo)準(zhǔn)。

            9、觸摸屏接口

            (1)按工作原理分,觸摸屏可以分為:表面聲波屏、電容屏、電阻屏和紅外屏幾種。

           ?。?)觸摸屏的控制采用專業(yè)芯片,例如ADS7843。

            10、音頻接口

           ?。?)基本原理:麥克風(fēng)輸入的數(shù)據(jù)經(jīng)音頻編解碼器解碼完成A/D轉(zhuǎn)換,解碼后的音頻數(shù)據(jù)通過音頻控制器送入DSP或CPU進(jìn)行相應(yīng)的處理,然后數(shù)據(jù)經(jīng)音頻控制器發(fā)送給音頻編碼器,經(jīng)編碼D/A轉(zhuǎn)換后由揚(yáng)聲器輸出。

           ?。?)數(shù)字音頻的格式有多種,最常用的是下面三種:

            A、采用數(shù)字音頻(PCM):是CD或DVD采用的數(shù)據(jù)格式。其采樣頻率為44.1kHz。精度為16位時(shí),PCM音頻數(shù)據(jù)速率為1.41Mb/s;精度為32位時(shí)為2.42 Mb/s。一張700MB的CD可以保存大約60分鐘的16位PCM數(shù)據(jù)格式的音樂。

            B、MPEG層3音頻(MP3):MP3播放器采用的音頻格式。立體聲MP3數(shù)據(jù)速率為112kb/s至128kb/s。

            C、ATSC數(shù)字音頻壓縮標(biāo)準(zhǔn)(AC3):數(shù)字TV、HDTV和電影數(shù)字音頻編碼標(biāo)準(zhǔn),立體聲AC3編碼后的數(shù)據(jù)速率為192kb/s。

           ?。?)IIS是音頻數(shù)據(jù)的編碼或解碼常用的串行音頻數(shù)字接口。IIS總線只處理聲音數(shù)據(jù),其他控制信號(hào)等則需要單獨(dú)傳輸。IIS使用了3根串行總線:數(shù)據(jù)線SD、字段選擇線WS、時(shí)鐘信號(hào)線SCK。

           ?。?)當(dāng)接收方和發(fā)送方的數(shù)據(jù)字段寬度不一樣時(shí),發(fā)送方不考慮接收方的數(shù)據(jù)字段寬度。如果發(fā)送方發(fā)送的數(shù)據(jù)字段小于系統(tǒng)字段寬度,就在低位補(bǔ)0;如果發(fā)送方的數(shù)據(jù)寬度大于接收方的寬度,則超過LSB的部分被截?cái)?。字段選擇WS用來選擇左右聲道,WS=0表示選擇左聲道;WS=1表示選擇右聲道。此外,WS能讓接收設(shè)備存儲(chǔ)前一個(gè)字節(jié),并準(zhǔn)備接收下一個(gè)字節(jié)。

            11、串行接口

           ?。?)串行通信是指,使數(shù)據(jù)一位一位地進(jìn)行傳輸而實(shí)現(xiàn)的通信。與并行通信相比,串行通信具有傳輸線少、成本低等優(yōu)點(diǎn),特別適合遠(yuǎn)距離傳送;缺點(diǎn)使速度慢。

           ?。?)串行數(shù)據(jù)傳送有3種基本的通信模式:?jiǎn)喂?、半雙工、全雙工。

           ?。?)串行通信在信息格式上可以分為2種方式:同步通信和異步通信。

            A、異步傳輸:把每個(gè)字符當(dāng)作獨(dú)立的信息來傳輸,并按照一固定且預(yù)定的時(shí)序傳送,但在字符之間卻取決于字符與字符的任意時(shí)序。異步通信時(shí),字符是一幀一幀傳送的,每幀字符的傳送靠起始位來同步。一幀數(shù)據(jù)的各個(gè)代碼間間隔是固定的,而相鄰兩幀數(shù)據(jù)其時(shí)間間隔是不固定的。

            B、同步傳輸:同步方式不僅在字符之間是同步的,而且在字符與字符之間的時(shí)序仍然是同步的,即同步方式是將許多字符******成一字符塊后,在每塊信息之前要加上1~2個(gè)同步字符,字符塊之后再加入適當(dāng)?shù)腻e(cuò)誤檢測(cè)數(shù)據(jù)才傳送出去。

           ?。?)異步通信必須遵循3項(xiàng)規(guī)定:

            A、字符格式:起始位+數(shù)據(jù)+校驗(yàn)位+停止位(檢驗(yàn)位可無),低位先傳送。

            B、波特率:每秒傳送的位數(shù)。

            C、校驗(yàn)位:奇偶檢驗(yàn)。

            a、奇校驗(yàn):要使字符加上校驗(yàn)位有奇數(shù)個(gè)“1”。

            b、偶檢驗(yàn):要使字符加上校驗(yàn)位有偶數(shù)個(gè)“1”。

            (5)RS-232C的電氣特性:負(fù)邏輯。

            A、在TxD和RxD上:邏輯1為-3V~-15V,邏輯0為3V~15V。

            B、在TES、CTS、DTR、DCD等控制線上:

            信號(hào)有效(ON狀態(tài))為3V~15V

            信號(hào)無效(OFF狀態(tài))為-3V~-15V

            (6)TTL標(biāo)準(zhǔn)與RS-232C標(biāo)準(zhǔn)之間的電平轉(zhuǎn)換利用集成芯片RS232實(shí)現(xiàn)。

           ?。?)RS-422串行通信接口

            A、RS-422是一種單機(jī)發(fā)送、多機(jī)接收的單向、平衡傳輸規(guī)范,傳輸速率可達(dá)10Mb/s。

            B、RS-422采用差分傳輸方式,也稱做平衡傳輸,使用一對(duì)雙絞線。

            C、RS-422需要一終端電阻,要求其阻值約等于傳輸電纜的特性阻抗。

           ?。?)RS-485串行總線接口

            A、RS-485是在RS-422的基礎(chǔ)上建立的標(biāo)準(zhǔn),增加了多點(diǎn)、雙向通信能力,通信距離可為幾十米到上千米。

            B、RS-485收發(fā)器采用平衡發(fā)送和差分接收,具有抑制共模干擾的能力。

            C、RS-485需要兩個(gè)終端電阻。在近距離(300m一下)傳輸可不需要終端電阻。

            12、并行接口

            (1)并行接口的數(shù)據(jù)傳輸率比串行接口快8倍,標(biāo)準(zhǔn)并行接口的數(shù)據(jù)傳輸率為1Mb/s,一般用來連接打印機(jī)、掃描儀等,所以又稱打印口。

            (2)并行接口可以分為SPP(標(biāo)準(zhǔn)并口)、EPP(增強(qiáng)型并口)和ECP(擴(kuò)展型并口)。

           ?。?)并行總線分為標(biāo)準(zhǔn)和非標(biāo)準(zhǔn)兩類。常用的并行標(biāo)準(zhǔn)總線有IEEE 488總線和ANSI SCSI總線。MXI總線是一種高性能非標(biāo)準(zhǔn)的通用多用戶并行總線。

            13、PCI接口

           ?。?)PCI總線是地址、數(shù)據(jù)多路復(fù)用的高性能32位和64位總線,是微處理器與外圍控制部件、外圍附加板之間的互連機(jī)構(gòu)。

            (2)從數(shù)據(jù)寬度上看,PCI定義了32位數(shù)據(jù)總線,且可擴(kuò)展為64位。從總線速度上分,有33MHz和66MHz兩種。

           ?。?)與ISA總線相比,PCI總線的地址總線與數(shù)據(jù)總線分時(shí)復(fù)用,支持即插即用、中斷共享等功能。

            14、USB接口

            (1)USB總線的主要特點(diǎn):

            A、使用簡(jiǎn)單,即插即用。

            B、每個(gè)USB系統(tǒng)中都有主機(jī),這個(gè)USB網(wǎng)絡(luò)中最多可以連接127個(gè)設(shè)備。

            C、應(yīng)用范圍廣,支持多個(gè)設(shè)備同時(shí)操作。

            D、低成本的電纜和連接器,使用統(tǒng)一的4引腳插頭。

            E、較強(qiáng)的糾錯(cuò)能力。

            F、較低的協(xié)議開銷帶來了高的總線性能,且適合于低成本外設(shè)的開發(fā)。

            G、支持主機(jī)與設(shè)備之間的多數(shù)據(jù)流和多消息流傳輸,且支持同步和異步傳輸類型。

            H、總線供電,能為設(shè)備提供5V/100mA的供電。

           ?。?)USB系統(tǒng)由3部分來描述:USB主機(jī)、USB設(shè)備和USB互連。

           ?。?)USB總線支持的數(shù)據(jù)傳輸率有3種:高速信令位傳輸率為480Mb/s;全速信令位傳輸率為12Mb/s;全速信令位傳輸率為1.5Mb/s。

            (4)USB總線電纜有4根線:一對(duì)雙絞信號(hào)線和一對(duì)電源線。

           ?。?)USB是一種查詢總線,由主控制器啟動(dòng)所有的數(shù)據(jù)傳輸。USB上所掛接的外設(shè)通過由主機(jī)調(diào)度的、基于令牌的協(xié)議來共享USB帶寬。

           ?。?)大部分總線事務(wù)涉及3個(gè)包的傳輸:

            A、令牌包:指示總線上要執(zhí)行什么事務(wù),欲尋址的USB設(shè)備及數(shù)據(jù)傳送方向。

            B、數(shù)據(jù)包:傳輸數(shù)據(jù)或指示它沒有數(shù)據(jù)要傳輸。

            C、握手包:指示傳輸是否成功。

           ?。?)主機(jī)與設(shè)備端點(diǎn)之間的USB數(shù)據(jù)傳輸模型被稱作管道。管道有兩種類型:流和消息。消息數(shù)據(jù)具有USB定義的結(jié)構(gòu),而數(shù)據(jù)流沒有。

           ?。?)事務(wù)調(diào)度表允許對(duì)某些流管道進(jìn)行流量控制,在硬件級(jí),通過使用NAK(否認(rèn))握手信號(hào)來調(diào)節(jié)數(shù)據(jù)傳輸率,以防止緩沖區(qū)上溢或下溢產(chǎn)生。

           ?。?)USB設(shè)備最大的特點(diǎn)是即插即用。

           ?。?0)工作原理:USB設(shè)備插入U(xiǎn)SB端點(diǎn)時(shí),主機(jī)都通過默認(rèn)地址0與設(shè)備的端點(diǎn)0進(jìn)行通信。在這個(gè)過程中,主機(jī)發(fā)出一系列試圖得到描述符的標(biāo)準(zhǔn)請(qǐng)求,通過這些請(qǐng)求,主機(jī)得到所有感興趣的設(shè)備信息,從而知道了設(shè)備的情況以及該如何與設(shè)備通信。隨后主機(jī)通過發(fā)出Set Address請(qǐng)求為設(shè)備設(shè)置一個(gè)唯一的地址。以后主機(jī)就通過為設(shè)備設(shè)置好的地址與設(shè)備通信,而不再使用默認(rèn)地址0。

            15、SPI接口

           ?。?)SPI是一個(gè)同步協(xié)議接口,所有的傳輸都參照一個(gè)共同的時(shí)鐘,這個(gè)同步時(shí)鐘有主機(jī)產(chǎn)生,接收數(shù)據(jù)的外設(shè)使用時(shí)鐘來對(duì)串行比特流的接收進(jìn)行同步化。

            (2)在多個(gè)設(shè)備連接到主機(jī)的同一個(gè)SPI接口時(shí),主機(jī)通過從設(shè)備的片選引腳來選擇。

            (3)SPI主要使用4個(gè)信號(hào):主機(jī)輸出/從機(jī)輸入(MOSI),主機(jī)輸入/從機(jī)輸出(MISO)、串行時(shí)鐘SCLK和外設(shè)片選CS。

           ?。?)主機(jī)和外設(shè)都包含一個(gè)串行移位寄存器,主機(jī)通過向它的SPI串行寄存器寫入一個(gè)字節(jié)來發(fā)起一次數(shù)據(jù)傳輸。寄存器通過MOSI信號(hào)線將字節(jié)傳送給外設(shè),外設(shè)也將自己移位寄存器中的內(nèi)容通過MISO信號(hào)線返回給主機(jī),這樣,兩個(gè)移位寄存器中的內(nèi)容就被交換了。

           ?。?)外設(shè)的寫操作和讀操作時(shí)同步完成的,因此SPI成為一個(gè)很有效的協(xié)議。

           ?。?)如果只是進(jìn)行寫操作,主機(jī)只需忽略收到的字節(jié);反過來,如果主機(jī)要讀取外設(shè)的一個(gè)字節(jié),就必須發(fā)送一個(gè)空字節(jié)來引發(fā)從機(jī)的傳輸。

            16、IIC接口

           ?。?)IIC總線是具備總線仲裁和高低速設(shè)備同步等功能的高性能多主機(jī)總線。

            (2)IIC總線上需要兩條線:串行數(shù)據(jù)線SDA和串行時(shí)鐘線SCL。

           ?。?)總線上的每個(gè)器件都有唯一的地址以供識(shí)別,而且各器件都可以作為一個(gè)發(fā)送器或者接收器(由器件的功能決定)。

           ?。?)IIC總線有4種操作模式:主發(fā)送、主接收、從發(fā)送、從接收。

            (5)IIC在傳送數(shù)據(jù)過程******有3種類型信號(hào):

            A、開始信號(hào):SCL為低電平時(shí),SDA由高向低跳變。

            B、結(jié)束信號(hào):SCL為低電平時(shí),SDA由低向高跳變。

            C、應(yīng)答信號(hào):接收方在收到8位數(shù)據(jù)后,在第9個(gè)脈沖向發(fā)送方發(fā)出特點(diǎn)的低電平。

           ?。?)主器件發(fā)送一個(gè)開始信號(hào)后,它還會(huì)立即送出一個(gè)從地址,來通知將與它進(jìn)行數(shù)據(jù)通信的從器件。1個(gè)字節(jié)的地址包括7位地址信息和1位傳輸方向指示位,如果第7位為0,表示要進(jìn)行一個(gè)寫操作,如果為1,表示要進(jìn)行一個(gè)讀操作。

           ?。?)SDA線上傳輸?shù)拿總€(gè)字節(jié)長度都是8位,每次傳輸種字節(jié)的數(shù)量沒有限制的。在開始信號(hào)后面的第一個(gè)字節(jié)是地址域,之后每個(gè)傳輸字節(jié)后面都有一個(gè)應(yīng)答位(ACK),傳輸中串行數(shù)據(jù)的MSB(字節(jié)高位)首先發(fā)送。

            (8)如果數(shù)據(jù)接收方無法再接收更多的數(shù)據(jù),它可以通過將SCL保持低電平來中斷傳輸,這樣可以迫使數(shù)據(jù)發(fā)送方等待,直到SCL被重新釋放。這樣可以達(dá)到高低速設(shè)備同步。

           ?。?)IIC總線的工作過程:SDA和SCL都是雙向的。空閑的時(shí)候,SDA和SCL都是高電平,只有SDA變?yōu)榈碗娖剑又鳶CL再變?yōu)榈碗娖?,IIC總線的數(shù)據(jù)傳輸才開始。SDA線上被傳輸?shù)拿恳晃辉赟CL的上升沿被采樣,該位必須一直保持有效到SCL再次變?yōu)榈碗娖?,然后SDA就在SCL再次變?yōu)楦唠娖街皞鬏斚乱粋€(gè)位。最后,SCL變回高電平,接著SDA也變?yōu)楦唠娖?,表示?shù)據(jù)傳輸結(jié)束。

            17、以太網(wǎng)接口

           ?。?)最常用的以太網(wǎng)協(xié)議是IEEE802.3標(biāo)準(zhǔn)。

            (2)傳輸編碼(06和07年都有******):曼徹斯特編碼和差分曼徹斯特編碼。

            A、曼徹斯特編碼:每位中間有一個(gè)電平跳變,從高到底的跳變表示“0”,從低到高的跳變表示為“1”。

            B、差分曼徹斯特編碼:每位中間有一個(gè)電平跳變,利用每個(gè)碼元開始時(shí)有無跳變來表示“0”或“1”,有跳變?yōu)?ldquo;0”,無跳變?yōu)?ldquo;1”。

            (3)相比之下,曼徹斯特編碼編碼簡(jiǎn)單,差分曼徹斯特編碼提供更好的噪聲抑制性能。

            (4)以太網(wǎng)數(shù)據(jù)傳輸特點(diǎn):

            A、所有數(shù)據(jù)位的傳輸由低位開始,傳輸?shù)奈涣鲿r(shí)用曼徹斯特編碼。

            B、以太網(wǎng)是基于沖突檢測(cè)的總線復(fù)用方法,由硬件自動(dòng)執(zhí)行。

            C、傳輸?shù)臄?shù)據(jù)長度,目的地址DA+源地址SA+類型字段TYPE+數(shù)據(jù)段DATA+填充位PAD,最小為60B,最大為1514B。

            D、通常以太網(wǎng)卡可以接收3種地址的數(shù)據(jù):廣播地址、多播地址、自己的地址。

            E、任何兩個(gè)網(wǎng)卡的物理地址都不一樣,是世界上唯一的,網(wǎng)卡地址由專門機(jī)構(gòu)分配。

            (5)嵌入式以太網(wǎng)接口有兩種實(shí)現(xiàn)方法:

            A、嵌入式處理器+網(wǎng)卡芯片(例如:RTL8019AS、CS8900等)

            B、帶有以太網(wǎng)接口的處理器。

           ?。?)TCP/IP是一個(gè)分層協(xié)議,分為:物理層、數(shù)據(jù)鏈路層、網(wǎng)絡(luò)層、傳輸層和應(yīng)用層。每層實(shí)現(xiàn)一個(gè)明確的功能,對(duì)應(yīng)一個(gè)或幾個(gè)傳輸協(xié)議,每層相對(duì)于它的下層都作為一個(gè)獨(dú)立的數(shù)據(jù)包來實(shí)現(xiàn)。每層上的協(xié)議如下:

            A、應(yīng)用層:BSD套接字。

            B、傳輸層:TCP、UDP。

            C、網(wǎng)絡(luò)層:IP、ARP、ICMP、IGMP

            D、數(shù)據(jù)鏈路層:IEEE802.3 Ethernet MAC

            E、物理層:二進(jìn)制比特流。

            (7)ARP(地址解析協(xié)議)

            A、網(wǎng)絡(luò)層用32位的地址來標(biāo)識(shí)不同的主機(jī)(即IP地址),而鏈路層使用48位的物理地址(MAC)來標(biāo)識(shí)不同的以太網(wǎng)或令牌網(wǎng)接口。

            B、ARP功能:實(shí)現(xiàn)從IP地址到對(duì)應(yīng)物理地址的轉(zhuǎn)換。

           ?。?)ICMP(網(wǎng)絡(luò)控制報(bào)文協(xié)議)

            A、IP層用它來與其他主機(jī)或路由器交換錯(cuò)誤報(bào)文和其他重要控制信息。

            B、ICMP報(bào)文是在IP數(shù)據(jù)包內(nèi)被傳輸?shù)摹?/p>

            C、網(wǎng)絡(luò)診斷工具ping和traceroute其實(shí)就是ICMP協(xié)議。

           ?。?)IP(網(wǎng)際協(xié)議)

            A、IP工作在網(wǎng)絡(luò)層,是TCP/IP協(xié)議族中最為核心的協(xié)議。

            B、所有的TCP、UDP、ICMP及IGMP數(shù)據(jù)都以IP數(shù)據(jù)包格式傳輸。

            C、TTL(生存時(shí)間字段):指定了IP數(shù)據(jù)包的生存時(shí)間(數(shù)據(jù)包可以經(jīng)過的路由器數(shù))。

            D、IP提供不可靠、無連接的數(shù)據(jù)包傳送服務(wù),高效、靈活。

            a、不可靠:它不能保證數(shù)據(jù)包能成功到達(dá)目的地,任何要求的可靠性必須由上層來提供(如TCP)。如果發(fā)生某種錯(cuò)誤,IP有一個(gè)簡(jiǎn)單的錯(cuò)誤處理算法--丟棄該數(shù)據(jù)包,然后發(fā)送ICMP消息報(bào)給信源端。

            b、無連接:IP不維護(hù)任何關(guān)于后續(xù)數(shù)據(jù)包的狀態(tài)信息。每個(gè)數(shù)據(jù)包的處理都是相互獨(dú)立的。IP數(shù)據(jù)包可以不按順序接收,

            (10)TCP(傳輸控制協(xié)議)

            TCP協(xié)議是一個(gè)面向連接的可靠的傳輸層協(xié)議,它為兩臺(tái)主機(jī)提供高可靠性的端到端數(shù)據(jù)通信。

           ?。?1)UDP(用戶數(shù)據(jù)包協(xié)議)

            UDP協(xié)議是一種無連接不可靠的傳輸層協(xié)議,它不保證數(shù)據(jù)包能到達(dá)目的地,可靠性有應(yīng)用層來提供。UDP協(xié)議開銷少,和TCP相比更適合于應(yīng)用在低端的嵌入式領(lǐng)域中。

           ?。?2)端口:TCP和UDP采用16位端口號(hào)來識(shí)別上層的用戶,即應(yīng)用層協(xié)議,例如FTP服務(wù)的TCP端口號(hào)都是21,Telnet服務(wù)的TCP端口號(hào)都是23,TFTP服務(wù)的UDP端口號(hào)都是69。

            18、CAN總線接口

           ?。?)CAN(Control Area Network,控制器局域網(wǎng))總線是一種多主方式的串行通信總線,是國際上應(yīng)用最廣泛的現(xiàn)場(chǎng)總線之一,最初被用于汽車環(huán)境中的電子控制網(wǎng)絡(luò)。一個(gè)CAN總線構(gòu)成的單一網(wǎng)絡(luò)中,理想情況下可以掛接任意多個(gè)節(jié)點(diǎn),實(shí)際應(yīng)用中節(jié)點(diǎn)數(shù)據(jù)受網(wǎng)絡(luò)硬件的電氣特性所限制。

           ?。?)總線信號(hào)使用差分電壓傳送。兩條信號(hào)線被稱為CAN_H和CAN_L,靜態(tài)是均為2.5V左右,此時(shí)狀態(tài)表示邏輯1,也可以叫做“隱性”。用CAN_H比CAN_L高表示邏輯0,稱為“顯性”,此時(shí),通常電壓值為CAN_H=3.5V和CAN_L=1.5V。

           ?。?)當(dāng)“顯性”和“隱性”位同時(shí)發(fā)送的時(shí)候,最后總線數(shù)值將為“顯性”這種特性為CAN總線的仲裁奠定了基礎(chǔ)。

           ?。?)CAN總線的一個(gè)位時(shí)間可以分成4個(gè)部分:同步段、傳播時(shí)間段、相位緩沖段1和相位緩沖段2。

           ?。?)CAN總線的數(shù)據(jù)幀有兩種格式:標(biāo)準(zhǔn)格式和擴(kuò)展格式。包括:幀起始、仲裁場(chǎng)、控制場(chǎng)、數(shù)據(jù)場(chǎng)、CRC場(chǎng)、ACK場(chǎng)和幀結(jié)束。

           ?。?)CAN總線硬件接口包括:CAN總線控制器和CAN收發(fā)器。CAN控制器主要完成時(shí)序邏輯轉(zhuǎn)換等工作,例如菲利普的SJA1000。CAN收發(fā)器是CAN總線的物理層芯片,實(shí)現(xiàn)TTL電平到CAN總線電平特性的轉(zhuǎn)換,例如TJA1050。

            19、xDSL接口

           ?。?)xDSL(數(shù)字用戶線路)技術(shù)是,在現(xiàn)有用戶電話線兩側(cè)同時(shí)接入專用的DSL調(diào)制解調(diào)設(shè)備,在用戶線上利用數(shù)字?jǐn)?shù)字信號(hào)高頻帶寬較寬的特性直接采用數(shù)字信號(hào)傳輸,省去中間的A/D轉(zhuǎn)換,突破了模擬信號(hào)傳輸極限速率為56KB/s的閑置。

           ?。?)DSL技術(shù)主要分為對(duì)稱和非對(duì)稱兩大類。

           ?。?)對(duì)成xDSL更適合于企業(yè)點(diǎn)對(duì)點(diǎn)連接應(yīng)用,例如文件傳輸、視頻會(huì)議等收發(fā)數(shù)據(jù)量大致相同的工作。

           ?。?)ASDL是近年發(fā)展的另一種寬帶接入技術(shù),是利用雙絞銅線向用戶提供兩個(gè)方向上速率不對(duì)稱的寬帶信息業(yè)務(wù)。

           ?。?)ADSL在一對(duì)電話線上同時(shí)傳送一路高速下行數(shù)據(jù)、一路較低速率上行數(shù)據(jù)、一路模擬電話。各信號(hào)之間采用頻分復(fù)用方式占用不同頻帶,低頻段傳送話音;中間窄頻帶傳送上行信道數(shù)據(jù)及控制信息;其余高頻段傳送下行信道數(shù)據(jù)、圖像或高速數(shù)據(jù)。

            20、WLAN接口

           ?。?)WLAN(Wireless Local Area Network)是利用無線通信技術(shù)在一定的局部范圍內(nèi)建立的,是計(jì)算機(jī)網(wǎng)絡(luò)與無線通信技術(shù)相結(jié)合的產(chǎn)物,它以無線多址通道作為傳輸媒介,提供有線局域網(wǎng)的功能。

            (2)WLAN的標(biāo)準(zhǔn):主要是針對(duì)物理層和媒質(zhì)訪問控制層(MAC層),涉及到所有使用的無線頻率范圍、控制接口通信協(xié)議等技術(shù)規(guī)范與技術(shù)標(biāo)準(zhǔn)。

            A、IEEE 802.11:定義了物理層和MAC層規(guī)范,工作在2.4~2.4835GHz頻段,最高速率為2Mb/s,是IEEE最初制定的一個(gè)無線局域網(wǎng)標(biāo)準(zhǔn)。

            B、IEEE 802.11b:工作在2.4~2.4835GHz頻段,最高速率為11Mb/s,傳輸距離50~150inch。采用點(diǎn)對(duì)點(diǎn)模式和基本模式兩種運(yùn)行模式。在數(shù)據(jù)傳輸速率方面可以根據(jù)實(shí)際情況在11Mb/s、5.5Mb/s、2 Mb/s、1 Mb/s的不同速率間自動(dòng)切換。

            C、IEEE 802.11a:工作在5.15~8.825GHz頻段,最高速率為54Mb/s/72Mb/s,傳輸距離10~100m。

            D、IEEE 802.11g:混合標(biāo)準(zhǔn),擁有EEE 802.11a的傳輸速率,安全性較EEE 802.11b好,采用兩種調(diào)制方式,做到與EEE 802.11a和EEE 802.11b兼容。

            (3)WLAN有兩種網(wǎng)絡(luò)類型:對(duì)等網(wǎng)絡(luò)和基礎(chǔ)機(jī)構(gòu)網(wǎng)絡(luò)。

            21、藍(lán)牙接口

           ?。?)藍(lán)牙技術(shù)的目的:使特定的移動(dòng)電話、便鞋式電腦以及各種便攜通信設(shè)備的主機(jī)之間近距離內(nèi)實(shí)現(xiàn)無縫的資源共享。

           ?。?)藍(lán)牙技術(shù)的實(shí)質(zhì)內(nèi)容是要建立通用的無線空中接口及其控制軟件的公開標(biāo)準(zhǔn)。其工作頻段為全球通用的2.4GHz ISM(即工業(yè)、科學(xué)、醫(yī)學(xué))頻段,其數(shù)據(jù)傳輸速率為1Mb/s,采用時(shí)分雙工方案來實(shí)現(xiàn)全雙工傳輸,其理想的連接范圍為10cm~10m。

           ?。?)藍(lán)牙基帶協(xié)議是電路交換和分組交換的結(jié)合。

           ?。?)藍(lán)牙技術(shù)特點(diǎn):

            A、傳輸距離短,工作距離在10m以內(nèi)。

            B、采用跳頻擴(kuò)頻技術(shù)。

            C、采用時(shí)分復(fù)用多路訪問技術(shù),有效地避免了“碰撞”和“隱藏終端”等問題。

            D、網(wǎng)絡(luò)技術(shù)。

            E、語言支持。

            F、糾錯(cuò)技術(shù),其采用的是FEC(前向糾錯(cuò))方案。

           ?。?)藍(lán)牙接口由3大單元組成:無線單元、基帶單元、鏈路管理與控制單元。

            22、1394接口

           ?。?)1394作為一種標(biāo)準(zhǔn)總線,可以在不同的工業(yè)設(shè)備之間架起一座溝通的橋梁,在一條總線上可以接入63個(gè)設(shè)備。

            (2)IEEE 1394的特點(diǎn):

            A、支持多種總線速度,適應(yīng)不同應(yīng)用要求。

            B、即插即用,支持熱插拔。

            C、支持同步和異步兩種傳輸方式。

            D、支持點(diǎn)到點(diǎn)通信模式,IEEE 1394是多主總線。

            E、遵循ANSI IEEE 1212控制及狀態(tài)寄存器(CSR)標(biāo)準(zhǔn),定義了64位的地址空間,可尋址1024條總線的63個(gè)節(jié)點(diǎn),每個(gè)節(jié)點(diǎn)可包含256TB的內(nèi)存空間。

            F、支持較遠(yuǎn)距離的傳輸。

            G、支持公平仲裁原則,為每一種傳輸方式保證足夠的傳輸帶寬。

            H、六線電纜具有電源線,可傳輸8~40V的直流電壓。

            (3)IEEE 1394的協(xié)議棧由3層組成:物理層、鏈路層和事務(wù)層,例外還有一個(gè)管理層。物理層和鏈路層由硬件構(gòu)成,而事務(wù)層主要由軟件實(shí)現(xiàn)。

            A、物理層提供IEEE 1394的電氣和機(jī)械接口,功能是重組字節(jié)流并將它們發(fā)送到目的節(jié)點(diǎn)上去。

            B、鏈路層提供了給事務(wù)層確認(rèn)的數(shù)據(jù)服務(wù),包括:尋址、數(shù)據(jù)組幀和數(shù)據(jù)校驗(yàn)。

            C、事務(wù)層為應(yīng)用提供服務(wù)。

            D、管理層定義了一個(gè)管理節(jié)點(diǎn)所使用的所有協(xié)議、服務(wù)以及進(jìn)程。

            23、電源接口

           ?。?)DC-DC轉(zhuǎn)換器有三種類型:

            A、線性穩(wěn)壓器:產(chǎn)生較輸入電壓低的電壓。

            B、開關(guān)穩(wěn)壓器:能升高電壓、降低電壓或翻轉(zhuǎn)輸入電壓。

            C、充電泵:可以升高、降低或翻轉(zhuǎn)輸入電壓,但電流驅(qū)動(dòng)能力有限。

            (2)任何變壓器的轉(zhuǎn)換過程都不具有100%的效率,穩(wěn)壓器本省也使用電流(靜態(tài)電流),這個(gè)電流來自輸入電流。靜態(tài)電流越大,穩(wěn)壓器功耗越大。

           ?。?)線性穩(wěn)壓器輸入輸出使用退耦電容來過濾,電容除了有助于平穩(wěn)電壓以外,還有利于去除電源中的瞬間短時(shí)脈沖波形干擾。

           ?。?)電壓與功耗之間的平方關(guān)系意味著理想高效的方法是在要求較低電壓的較低時(shí)鐘速率上執(zhí)行代碼,而不是先以最高的時(shí)鐘速率執(zhí)行代碼然后再轉(zhuǎn)為空閑休眠。

            (5)電源通常被認(rèn)為是整個(gè)系統(tǒng)的“心臟”,絕大多數(shù)電子設(shè)備50%~80%的節(jié)能潛力在于電源系統(tǒng),研制開發(fā)新型開關(guān)電源是節(jié)能的主要舉措之一。

           ?。?)降低功耗的設(shè)計(jì)技術(shù):

            A、采用低功耗器件,例如選用CMOS電路芯片。

            B、采用高集成度專用器件,外部設(shè)備的選擇也要盡量支持低功耗設(shè)計(jì)。

            C、動(dòng)態(tài)調(diào)整處理器的時(shí)鐘頻率和電壓,在允許的情況下盡量使用低頻率器件。

            D、利用“節(jié)電”工作方式。

            E、合理處理器件空余引腳:

            a、大多數(shù)數(shù)字電路的輸出端在輸出低電平時(shí),其功耗遠(yuǎn)遠(yuǎn)大于輸出高電平時(shí)的功耗,設(shè)計(jì)時(shí)應(yīng)該注意控制低電平的輸出時(shí)間,閑置時(shí)使其處于高電平輸出狀態(tài)。

            b、多余的非門、與非門的輸入端應(yīng)接低電平,多余的與門、或門的輸入端應(yīng)接高電平。

            c、ROM或RAM及其他有片選信號(hào)的器件,不要將“片選”引腳直接接地,避免器件長期被接通,而應(yīng)該與“讀/寫”信號(hào)結(jié)合,只對(duì)其進(jìn)行讀寫操作時(shí)才選通。

            F、實(shí)現(xiàn)電源管理,設(shè)計(jì)外部器件電源控制電路,控制“耗電大戶”的供電情況。



          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();