<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 電源與新能源 > 設(shè)計應(yīng)用 > FPGA illj控專用芯片的VHDL程序設(shè)計

          FPGA illj控專用芯片的VHDL程序設(shè)計

          作者: 時間:2018-08-29 來源:網(wǎng)絡(luò) 收藏

          根據(jù)本系統(tǒng)的設(shè)計要求,步迸頻率要求設(shè)雹為100 KHZ,因此要求R分頻器采用 128分頻,即將RA0、RA1、RA2分別設(shè)置為0、1、0。在本設(shè)計中,因為fd直接輸入fin,麗且步進是100 kHz,所以分頻系數(shù)不會出現(xiàn)小數(shù),故可將A5~A0直接置o.因此,要控制MC145152,只需控制MC145152的NO~N9即可。這時,還應(yīng)將雙模分頻器淘邏輯控制(CONTROL LOGIC)端MC設(shè)置為1。

          本文引用地址:http://www.ex-cimer.com/article/201808/387937.htm

          16.2.3 FPGA illj控專用芯片的VHDL程序設(shè)計

          根據(jù)系統(tǒng)的總體設(shè)計方案,F(xiàn)PGA測控專用芯片的輸入信號有:FIN—被鍘頻率信號輸入端:CLK——200 Hz.基準(zhǔn)信號輸入端;EN——ADDSUB的控制信號端口,在EN 的上升沿,ADDSUB可加載到FPGA:ADDSUB「1..0]——對MC145152的控制輸入,當(dāng)其為“00”時,將發(fā)射頻率設(shè)定在25 MHz,當(dāng)其為“01”時,每按一次升頻鍵,發(fā)射頻率以100 kHz增加,當(dāng)其為“10”時,每按一次降頻鍵,發(fā)射頻率以too kHz W低,當(dāng)其為“11”時,對FPGA不起作用:SEL[1..0]——輸出選擇,當(dāng)其分別為“00`“Dl`“10. Id II”時,輸瑚為頻率計數(shù)器的第0~7位、第8~15位、第16~23位、第IF~23位。輸出信號有:CIR[9..0]—△MC145152控制信號輸出口;DATA[7..0] FPGA到攀片機的數(shù)據(jù)輸出冂,與的PO口相連,由SEL[1..0]控制輸出fi[dg容。其應(yīng)實現(xiàn)的功能就是負(fù)責(zé)赭ffi;J MC145152和實時測量壓控振蕩器輸出信號的頻率。

          根據(jù)系統(tǒng)應(yīng)實現(xiàn)的功能要求,F(xiàn)PGA測控專用芯片可分為兩個相對獨立的模塊,一個模塊負(fù)責(zé)控制MC145152,一個模塊負(fù)責(zé)實時測量壓控振蕩器輸出信號的頻率。

          壓控振蕩器輸出信號頻率的實時測量可按如下原理設(shè)計:系統(tǒng)上電時,F(xiàn)PGA輸出250(二進制代碼)至MC145152,該數(shù)值為振蕩器頻率的基值;當(dāng)接收到的升頻步進信號后,內(nèi)部信號“CONTROL”加1,送給MC 145152;同理,收到降頻步進信號后,內(nèi)部信號“CONTROL”減1,送給MC145152,這樣就完成了對振蕩器鎖定頻率的調(diào)節(jié)。而壓控振蕩器輸出信號頻率的實時測量可按如下原理設(shè)計:由于本系統(tǒng)所測頻率范圍集中在高頻,因此可利用測定單位時間內(nèi)信號周期性重復(fù)的次數(shù)來測定頻率,并且即便測的時間較短,測試精度仍然較高。在實際設(shè)計時,可將200 Hz的基準(zhǔn)信號分頻成50 Hz,每個周期測頻一次,到50 Hz頻率與200 Hz頻率同時是負(fù)脈沖的時候清零,其余時間計數(shù),但只將正脈沖期間的計數(shù)值鎖存,保證先鎖存數(shù)據(jù),再清零,定時時間為0.01 s。讀出送出顯示時,做了相應(yīng)的小數(shù)點處理,如單片機讀到的數(shù)為“123456”,則顯示成“12.3456”,單位即為M。

          根據(jù)以上設(shè)計思想,F(xiàn)PGA測控專用芯片可設(shè)計成五個模塊,它們分別是:鎖相環(huán)MC145152控制模塊SXHKZ、測控信號發(fā)生模塊CKXH、頻率測量模塊PLCS、數(shù)據(jù)鎖存模塊SJSC和輸出選擇模塊SCXZ,整個系統(tǒng)組成框圖如圖1 所示。其中測控信號發(fā)生模塊CKXH的作用是:將輸入的200 Hz頻率分頻成兩種互為反相的、頻率為50 Hz的測控信號CLKIN和LOAD;頻率測量模塊PLCS的作用是:在設(shè)定時間里,進行頻率的計數(shù)和清零:數(shù)據(jù)鎖存模塊SJSC的作用是:在LOAD的上升沿將頻率的計數(shù)數(shù)值輸出鎖存,在CLKIN的第50個上升沿時將待顯示的數(shù)值輸出鎖存,亦即測試的頻率數(shù)據(jù)刷新頻率為50 Hz,刷新時間為O.02S,顯示的數(shù)據(jù)刷新頻率為2 Hz,刷新時間為0.5S。

          因為每0.02S數(shù)值就刷新一次,顯示時刷新較快,人眼不易觀察,所以將計數(shù)值隔500 ms鎖存一次,再送出去,由單片機讀出顯示。



          關(guān)鍵詞: 單片機

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();