<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 模擬技術(shù) > 設(shè)計(jì)應(yīng)用 > 什么是CPLD?CPLD是什么意思

          什么是CPLD?CPLD是什么意思

          作者: 時(shí)間:2011-07-27 來(lái)源:網(wǎng)絡(luò) 收藏

          CPLD(Complex Programmable Logic Device)是Complex PLD的簡(jiǎn)稱,一種較PLD為復(fù)雜的邏輯元件。
          CPLD是一種用戶根據(jù)各自需要而自行構(gòu)造邏輯功能的數(shù)字集成電路。其基本設(shè)計(jì)方法是借助集成開發(fā)軟件平臺(tái),用原理圖、硬件描述語(yǔ)言等方法,生成相應(yīng)的目標(biāo)文件,通過(guò)下載電纜(“在系統(tǒng)”編程)將代碼傳送到目標(biāo)芯片中,實(shí)現(xiàn)設(shè)計(jì)的數(shù)字系統(tǒng)。


          發(fā)展歷史及應(yīng)用領(lǐng)域:
          20世紀(jì)70年代,最早的可編程邏輯器件--PLD誕生了。其輸出結(jié)構(gòu)是可編程的邏輯宏單元,因?yàn)樗挠布Y(jié)構(gòu)設(shè)計(jì)可由軟件完成(相當(dāng)于房子蓋好后人工設(shè)計(jì)局部室內(nèi)結(jié)構(gòu)),因而它的設(shè)計(jì)比純硬件的數(shù)字電路具有很強(qiáng)的靈活性,但其過(guò)于簡(jiǎn)單的結(jié)構(gòu)也使它們只能實(shí)現(xiàn)規(guī)模較小的電路。為彌補(bǔ)PLD只能設(shè)計(jì)小規(guī)模電路這一缺陷,20世紀(jì)80年代中期,推出了復(fù)雜可編程邏輯器件--CPLD。目前應(yīng)用已深入網(wǎng)絡(luò)、儀器儀表、汽車電子、數(shù)控機(jī)床、航天測(cè)控設(shè)備等方面。


          器件特點(diǎn):
          它具有編程靈活、集成度高、設(shè)計(jì)開發(fā)周期短、適用范圍寬、開發(fā)工具先進(jìn)、設(shè)計(jì)制造成本低、對(duì)設(shè)計(jì)者的硬件經(jīng)驗(yàn)要求低、標(biāo)準(zhǔn)產(chǎn)品無(wú)需測(cè)試、保密性強(qiáng)、價(jià)格大眾化等特點(diǎn),可實(shí)現(xiàn)較大規(guī)模的電路設(shè)計(jì),因此被廣泛應(yīng)用于產(chǎn)品的原型設(shè)計(jì)和產(chǎn)品生產(chǎn)(一般在10,000件以下)之中。幾乎所有應(yīng)用中小規(guī)模通用數(shù)字集成電路的場(chǎng)合均可應(yīng)用CPLD器件。CPLD器件已成為電子產(chǎn)品不可缺少的組成部分,它的設(shè)計(jì)和應(yīng)用成為電子工程師必備的一種技能。


          如何使用:
          CPLD是一種用戶根據(jù)各自需要而自行構(gòu)造邏輯功能的數(shù)字集成電路。其基本設(shè)計(jì)方法是借助集成開發(fā)軟件平臺(tái),用原理圖、硬件描述語(yǔ)言等方法,生成相應(yīng)的目標(biāo)文件,通過(guò)下載電纜(“在系統(tǒng)”編程)將代碼傳送到目標(biāo)芯片中,實(shí)現(xiàn)設(shè)計(jì)的數(shù)字系統(tǒng)。
          這里以搶答器為例講一下它的設(shè)計(jì)(裝修)過(guò)程,即芯片的設(shè)計(jì)流程。CPLD的工作大部分是在電腦上完成的。打開集成開發(fā)軟件(Altera公司 Max+pluxII)→畫原理圖、寫硬件描述語(yǔ)言(VHDL,Verilog)→編譯→給出邏輯電路的輸入激勵(lì)信號(hào),進(jìn)行仿真,查看邏輯輸出結(jié)果是否正確→進(jìn)行管腳輸入、輸出鎖定(7128的64個(gè)輸入、輸出管腳可根據(jù)需要設(shè)定)→生成代碼→通過(guò)下載電纜將代碼傳送并存儲(chǔ)在CPLD芯片中。7128這塊芯片各管腳已引出,將數(shù)碼管、搶答開關(guān)、指示燈、蜂鳴器通過(guò)導(dǎo)線分別接到芯片板上,通電測(cè)試,當(dāng)搶答開關(guān)按下,對(duì)應(yīng)位的指示燈應(yīng)當(dāng)亮,答對(duì)以后,裁判給加分后,看此時(shí)數(shù)碼顯示加分結(jié)果是否正確,如發(fā)現(xiàn)有問(wèn)題,可重新修改原理圖或硬件描述語(yǔ)言,完善設(shè)計(jì)。設(shè)計(jì)好后,如批量生產(chǎn),可直接復(fù)制其他CPLD芯片,即寫入代碼即可。如果要對(duì)芯片進(jìn)行其它設(shè)計(jì),比如進(jìn)行交通燈設(shè)計(jì),要重新畫原理圖、或?qū)懹布枋稣Z(yǔ)言,重復(fù)以上工作過(guò)程,完成設(shè)計(jì)。這種修改設(shè)計(jì)相當(dāng)于將房屋進(jìn)行了重新裝修,這種裝修對(duì)CPLD來(lái)說(shuō)可進(jìn)行上萬(wàn)次。


          家庭成員:
          經(jīng)過(guò)幾十年的發(fā)展,許多公司都開發(fā)出了CPLD可編程邏輯器件。比較典型的就是Altera、Lattice、Xilinx世界三大權(quán)威公司的產(chǎn)品,這里給出常用芯片:
          Altera   EPM7128S  (PLCC84)
          Lattice   LC4128V  (TQFP100)
          Xilinx   XC95108 (PLCC84)



          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();