<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          異步計(jì)數(shù)器

          作者: 時間:2011-07-26 來源:網(wǎng)絡(luò) 收藏

          概述
          一、計(jì)數(shù)器:用以統(tǒng)計(jì)輸入計(jì)數(shù)脈沖CP個數(shù)的電路。
          計(jì)數(shù)器的“?!保ㄓ肕表示):
          二、 計(jì)數(shù)器的分類
          1.按計(jì)數(shù)進(jìn)制分
          2.按計(jì)數(shù)增減分
          3.按計(jì)數(shù)器中觸發(fā)器翻轉(zhuǎn)是否同步分
          7.3.1 異步計(jì)數(shù)器
          一、異步二進(jìn)制計(jì)數(shù)器

          1.異步二進(jìn)制加法計(jì)數(shù)
          設(shè)計(jì)思想
          JK觸發(fā)器組成4位異步二進(jìn)制加法計(jì)數(shù)器
          邏輯電路、工作原理、狀態(tài)轉(zhuǎn)換順序表、工作波形
          D觸發(fā)器組成的4位異步二進(jìn)制加法計(jì)數(shù)器(學(xué)生課后分析)
          2.異步二進(jìn)制減法計(jì)數(shù)器
          設(shè)計(jì)思想
          JK觸發(fā)器組成4位異步二進(jìn)制減法計(jì)數(shù)器
          邏輯電路、工作原理、狀態(tài)轉(zhuǎn)換順序表、工作波形

          現(xiàn)代教學(xué)方法與手段:用DLCCAI或EWB演示異步二進(jìn)制計(jì)數(shù)器的邏輯功能。

          二、異步十進(jìn)制加法計(jì)數(shù)器
          4個JK觸發(fā)器組成的8421BCD碼異步十進(jìn)制計(jì)數(shù)器
          1.計(jì)數(shù)狀態(tài)順序表
          2.邏輯電路圖
          3.工作原理
          4.工作波形

          現(xiàn)代教學(xué)方法與手段:用DLCCAI或EWB演示異步十進(jìn)制計(jì)數(shù)器的邏輯功能。

          課堂討論:若考慮延遲時間,異步計(jì)數(shù)器的狀態(tài)從1111→0000的過程?
          發(fā)現(xiàn)異步計(jì)數(shù)器的缺點(diǎn),從而帶出同步計(jì)數(shù)器來。
          7.3 計(jì)數(shù)器
          概述
          一、計(jì)數(shù)器:用以統(tǒng)計(jì)輸入計(jì)數(shù)脈沖CP個數(shù)的電路。
          計(jì)數(shù)器的“?!保ㄓ肕表示):計(jì)數(shù)器累計(jì)輸入脈沖的最大數(shù)目。也為電路的有效狀態(tài)數(shù)。
          如M=6計(jì)數(shù)器,又稱六進(jìn)制計(jì)數(shù)器。
          二、 計(jì)數(shù)器的分類

          1.按計(jì)數(shù)進(jìn)制分

          二進(jìn)制計(jì)數(shù)器:按二進(jìn)制數(shù)運(yùn)算規(guī)律進(jìn)行計(jì)數(shù)的電路稱作二進(jìn)制計(jì)數(shù)器。
          十進(jìn)制計(jì)數(shù)器:按十進(jìn)制數(shù)運(yùn)算規(guī)律進(jìn)行計(jì)數(shù)的電路稱作十進(jìn)制計(jì)數(shù)器。
          任意進(jìn)制計(jì)數(shù)器:二進(jìn)制計(jì)數(shù)器和十進(jìn)制計(jì)數(shù)器之外的其它進(jìn)制計(jì)數(shù)器統(tǒng)稱為任意進(jìn)制計(jì)數(shù)器。如五進(jìn)制計(jì)數(shù)器、六十進(jìn)制計(jì)數(shù)器等。

          2.按計(jì)數(shù)增減分

          加法計(jì)數(shù)器:隨著計(jì)數(shù)脈沖的輸入作遞增計(jì)數(shù)的電路稱作加法計(jì)數(shù)器。
          減法計(jì)數(shù)器:隨著計(jì)數(shù)脈沖的輸入作遞減計(jì)數(shù)的電路稱作減法計(jì)數(shù)器。
          加/減計(jì)數(shù)器:在加/減控制信號作用下,可遞增計(jì)數(shù),也可遞減計(jì)數(shù)的電路,稱作加/減計(jì)數(shù)器,又稱可逆計(jì)數(shù)器。
          也有特殊情況,不作加/減,其狀態(tài)可在外觸發(fā)控制下循環(huán)進(jìn)行特殊跳轉(zhuǎn),狀態(tài)轉(zhuǎn)換圖中構(gòu)成封閉的計(jì)數(shù)環(huán)。

          3.按計(jì)數(shù)器中觸發(fā)器翻轉(zhuǎn)是否同步分

          異步計(jì)數(shù)器:計(jì)數(shù)脈沖只加到部分觸發(fā)器的時鐘脈沖輸入端上,而其它觸發(fā)器的觸發(fā)信號則由電路內(nèi)部提供,應(yīng)翻轉(zhuǎn)的觸發(fā)器狀態(tài)更新有先有后的計(jì)數(shù)器,稱作異步計(jì)數(shù)器。
          同步計(jì)數(shù)器:計(jì)數(shù)脈沖同時加到所有觸發(fā)器的時鐘信號輸入端,使應(yīng)翻轉(zhuǎn)的觸發(fā)器同時翻轉(zhuǎn)的計(jì)數(shù)器,稱作同步計(jì)數(shù)器。顯然,它的計(jì)數(shù)速度要比異步計(jì)數(shù)器快得多。

          7.3.1 異步計(jì)數(shù)器

          一、異步二進(jìn)制計(jì)數(shù)器
          1.異步二進(jìn)制加法計(jì)數(shù)
          根據(jù)學(xué)生的程度,有時也可以從設(shè)計(jì)的角度,討論異步二進(jìn)制加法計(jì)數(shù)器的設(shè)計(jì)思想。
          復(fù)習(xí)(提問):1 怎樣由JK F/F、D F/F實(shí)現(xiàn)T′F/F?
          2 二進(jìn)制加法的進(jìn)位規(guī)則?

          [必須滿足二進(jìn)制加法原則:逢二進(jìn)一(1+1=10,即Q由1加1→0時有進(jìn)位);
          各觸發(fā)器應(yīng)滿足兩個條件:
          每當(dāng)CP有效觸發(fā)沿到來時,觸發(fā)器翻轉(zhuǎn)一次,即用T′觸發(fā)器。
          控制觸發(fā)器的CP端,只有當(dāng)?shù)臀挥|發(fā)器Q由1→0(下降沿)時,應(yīng)向高位CP端輸出一個進(jìn)位信號(有效觸發(fā)沿),高位觸發(fā)器翻轉(zhuǎn),計(jì)數(shù)加1。]

          由JK觸發(fā)器組成4位異步二進(jìn)制加法計(jì)數(shù)器
          ① 邏輯電路
          JK觸發(fā)器都接成T′觸發(fā)器,下降沿觸發(fā)。

           

          ② 工作原理
          異步置0端上加負(fù)脈沖,各觸發(fā)器都為0狀態(tài),即Q3Q2Q1Q0=0000狀態(tài)。在計(jì)數(shù)過程中,為高電平。
          只要低位觸發(fā)器由1狀態(tài)翻到0狀態(tài),相鄰高位觸發(fā)器接收到有效CP觸發(fā)沿,
          T′的狀態(tài)便翻轉(zhuǎn)。

          ③ 狀態(tài)轉(zhuǎn)換順序表7.3.1所示。
          電路為十六進(jìn)制計(jì)數(shù)器。
          ④ 工作波形(又稱時序圖或時序波形)

          輸入的計(jì)數(shù)脈沖每經(jīng)一級觸發(fā)器,其周期增加一倍,即頻率降低一半。
          一位二進(jìn)制計(jì)數(shù)器就是一個2分頻器,
          16進(jìn)制計(jì)數(shù)器即是一個16分頻器。

          表7.3.1 四位二進(jìn)制加法計(jì)數(shù)器 狀態(tài)轉(zhuǎn)換順序表

          圖7.3.2所示為由D觸發(fā)器組成的4位異步二進(jìn)制加法計(jì)數(shù)器的邏輯圖。
          由于D觸發(fā)器用輸入脈沖的上升沿觸發(fā),因此,每個觸發(fā)器的進(jìn)位信號由 端輸出。
          其工作原理類似,讓學(xué)生課后自行分析。


          2.異步二進(jìn)制減法計(jì)數(shù)器
          根據(jù)學(xué)生的程度,有時也可以從設(shè)計(jì)的角度,討論異步二進(jìn)制減法計(jì)數(shù)器的設(shè)計(jì)思想。
          [二進(jìn)制數(shù)的減法運(yùn)算規(guī)則:1-1=0,0—1不夠,向相鄰高位借位,10-1=1;
          各觸發(fā)器應(yīng)滿足兩個條件:
          每當(dāng)CP有效觸發(fā)沿到來時,觸發(fā)器翻轉(zhuǎn)一次,即用T′觸發(fā)器。
          控制觸發(fā)器的CP端,只有當(dāng)?shù)臀挥|發(fā)器Q由0→1(上升沿)時,應(yīng)向高位CP端輸出一個借位信號(有效觸發(fā)沿),高位觸發(fā)器翻轉(zhuǎn),計(jì)數(shù)減1。]

          由JK觸發(fā)器組成的4位二進(jìn)制減法計(jì)數(shù)器
          ① 邏輯圖。
          FF3~FF0都為T′觸發(fā)器,下降沿觸發(fā)。
          低位觸發(fā)器由0→ 1(上升沿)時,應(yīng)向高位CP端輸出一個借位信號(有效觸發(fā)沿),而觸發(fā)器為下降沿觸發(fā),低位觸發(fā)器應(yīng)從 端輸出借位信號。


           

          ② 工作原理

          表7.3.2 四位二進(jìn)制減法計(jì)數(shù)器計(jì)數(shù)狀態(tài)順序表

          二、異步十進(jìn)制加法計(jì)數(shù)器
          學(xué)習(xí)要點(diǎn):十進(jìn)制計(jì)數(shù)器的邏輯功能,即計(jì)數(shù)狀態(tài)順序表、工作波形。具體電路不要求掌握其電路形式,了解其電路工作原理(較復(fù)雜)。
          異步十進(jìn)制加法計(jì)數(shù)器是在4位異步二進(jìn)制加法計(jì)數(shù)器的基礎(chǔ)上經(jīng)過適當(dāng)修改獲得的。它跳過了1010~1111六個狀態(tài),利用自然二進(jìn)制數(shù)的前十個狀態(tài)0000~1001實(shí)現(xiàn)十進(jìn)制計(jì)數(shù)。
          4個JK觸發(fā)器組成的8421BCD碼異步十進(jìn)制計(jì)數(shù)器
          1.計(jì)數(shù)狀態(tài)順序表
          表7.3.3 十進(jìn)制計(jì)數(shù)器狀態(tài)順序表

          2.邏輯電路圖


          3.工作原理
          FF0和FF2為T′觸發(fā)器。
          設(shè)計(jì)數(shù)器從Q3Q2Q1Q0=0000狀態(tài)開始計(jì)數(shù)。
          這時J1==1,F(xiàn)F1也為T′觸發(fā)器。
          因此,輸入前8個計(jì)數(shù)脈沖時,計(jì)數(shù)器按異步二進(jìn)制加法計(jì)數(shù)規(guī)律計(jì)數(shù)。在輸入第7個計(jì)數(shù)脈沖時,計(jì)數(shù)器的狀態(tài)為Q3Q2Q1Q0=0111。這時, J3=Q2Q1=1、 K3=1。
          輸入第8個計(jì)數(shù)脈沖時,F(xiàn)F0由1狀態(tài)翻到0狀態(tài),Q0輸出的負(fù)躍變。一方面使FF3由0狀態(tài)翻到1狀態(tài);與此同時,Q0輸出的負(fù)躍變也使FF1由1狀態(tài)翻到0狀態(tài),F(xiàn)F2也隨之翻到0狀態(tài)。這時計(jì)數(shù)器的狀態(tài)為Q3Q2Q1Q0=1000,=0使J1==0。因此,在Q3=1時,F(xiàn)F1只能保持在0狀態(tài),不可能再次翻轉(zhuǎn)。
          輸入第9個計(jì)數(shù)脈沖時,計(jì)數(shù)器的狀態(tài)為Q3Q2Q1Q0=1001。這時,J3=0、K3=1。
          輸入第10個計(jì)數(shù)脈沖時,計(jì)數(shù)器從1001狀態(tài)返回到初始的0000狀態(tài),電路從而跳過了1010~1111六個狀態(tài),實(shí)現(xiàn)了十進(jìn)制計(jì)數(shù),同時Q3端輸出一個負(fù)躍變的進(jìn)位信號。
          4.工作波形。
          課堂討論:若考慮延遲時間,異步計(jì)數(shù)器的狀態(tài)從1111→0000的過程?
          可見,異步計(jì)數(shù)器存在過渡過程,若將狀態(tài)直接輸出到譯碼器,將會產(chǎn)生錯誤的譯碼,造成誤動作。這時,就要用下節(jié)課將要討論的同步計(jì)數(shù)器。

          7.3.1 異步計(jì)數(shù)器
          三、集成異步計(jì)數(shù)器CT74LS290
          掌握查手冊使用MSI器件的方法。

          ㈠ 器件的邏輯功能
          1.電路結(jié)構(gòu)框圖
          2.邏輯功能示意圖
          3.功能表
          4.邏輯功能說明
          (1)異步置0功能。
          (2)異步置9功能。
          (3)計(jì)數(shù)功能。

          ㈡ 應(yīng)用
          1.實(shí)現(xiàn)10進(jìn)制
          附:用級聯(lián)(相當(dāng)于串行進(jìn)位)法實(shí)現(xiàn)N進(jìn)制計(jì)數(shù)器的方法(異步)。
          2.利用反饋歸零法獲得N(任意正整數(shù))進(jìn)制計(jì)數(shù)器
          ⑴ 計(jì)數(shù)器的置0功能
          ⑵ 利用反饋歸零法獲得N進(jìn)制計(jì)數(shù)器

          步驟:
          ① 寫出計(jì)數(shù)器狀態(tài)的二進(jìn)制代碼。
          ② 寫出反饋歸零函數(shù)。這實(shí)際上是根據(jù)SN或SN-1寫置0端的邏輯表達(dá)式。
          ③ 畫連線圖。
          [例7.3.1] 試用CT74LS290構(gòu)成六進(jìn)制計(jì)數(shù)器。
          將CT74LS290構(gòu)成九進(jìn)制計(jì)數(shù)器。

          7.3.3 利用計(jì)數(shù)器的級聯(lián)獲得大容量N進(jìn)制計(jì)數(shù)器
          一、級聯(lián)法

          例:實(shí)現(xiàn)100進(jìn)制計(jì)數(shù)器

          二、反饋歸零法

          例:實(shí)現(xiàn)異步二十三進(jìn)制計(jì)數(shù)器

          7.3.1 異步計(jì)數(shù)器
          三、集成異步計(jì)數(shù)器CT74LS290
          掌握查手冊使用MSI器件的方法。
          ㈠ 器件的邏輯功能
          1.電路結(jié)構(gòu)框圖(未畫出置0和置9輸入端):
          二進(jìn)制計(jì)數(shù)器 + 五進(jìn)制計(jì)數(shù)器。
          所以又稱為:集成異步二—五一十進(jìn)制計(jì)數(shù)器
          2.邏輯功能示意圖
          說明:MSI器件畫邏輯功能示意圖的方法。
          ⑴ 先畫一個矩形框
          ⑵ 在矩形框中填入MSI器件的型號
          ⑶ 在矩形框的四周畫輸入、輸出引線,位置根據(jù)需要而定,原則是使構(gòu)成的電路原理清析,簡單明了。一般CP信號加上“>”符號,低電平有效時加小圓圈。
          ROA和ROB:置0輸入端,都為高電平時有效置0(即0000)
          S9A和S9B:置9輸入端,都為高電平時有效置9(即1001)


          思考:若置0、置9同時有效,結(jié)果如何?置9。一般不允許出現(xiàn)。

          4.邏輯功能說明

          (1)異步置0功能。


          計(jì)數(shù)脈沖由CP0輸入,從Q0輸出時,則構(gòu)成一位二進(jìn)制計(jì)數(shù)器
          計(jì)數(shù)脈沖由CP1端輸入,輸出為Q3Q2Q1Q0時,則構(gòu)成異步五進(jìn)制計(jì)數(shù)器。

          ㈡ 應(yīng)用
          1.實(shí)現(xiàn)10進(jìn)制

          附:用級聯(lián)(相當(dāng)于串行進(jìn)位)法實(shí)現(xiàn)N進(jìn)制計(jì)數(shù)器的方法(異步)。

          課堂討論:級聯(lián)法實(shí)現(xiàn)更大容量計(jì)數(shù)器時,計(jì)數(shù)器的順序如何?
          這樣構(gòu)成的N進(jìn)制計(jì)數(shù)器的計(jì)數(shù)狀態(tài)將保留M1進(jìn)制計(jì)數(shù)器的特點(diǎn)。
          8421BCD碼十進(jìn)制計(jì)數(shù)器狀態(tài)表5421BCD碼十進(jìn)制計(jì)數(shù)器狀態(tài)表

          如將Q0和CP1相連,計(jì)數(shù)脈沖由CP0輸入,輸出為Q3Q2Q1Q0時,則構(gòu)成8421BCD碼異步十進(jìn)制計(jì)數(shù)器。2×5=10
          復(fù)習(xí)(提問):5421BCD碼?
          如將Q3和CP0相連,計(jì)數(shù)脈沖由CP0端輸入,從高位到低位的輸出為Q0Q3Q2Q1時,則構(gòu)成5421BCD碼異步十進(jìn)制加法計(jì)數(shù)器。5×2=10

          2.利用反饋歸零法獲得N(任意正整數(shù))進(jìn)制計(jì)數(shù)器

          ⑴ 計(jì)數(shù)器的置0功能
          集成計(jì)數(shù)器的置0方式有異步和同步兩種。
          ①異步置0:與時鐘脈沖CP沒有任何關(guān)系,只要異步置0輸入端出現(xiàn)置0信號,計(jì)數(shù)器便立刻被置0。
          ② 同步置0:輸入端獲得置0信號后,只是為置0創(chuàng)造了條件,還需要再輸入一個計(jì)數(shù)脈沖CP,計(jì)數(shù)器才被置0。

          ⑵ 利用反饋歸零法獲得N進(jìn)制計(jì)數(shù)器
          用S0,S1,S2…,SN表示輸入0,1,2,…,N個計(jì)數(shù)脈沖CP時計(jì)數(shù)器的狀態(tài)。
          N進(jìn)制計(jì)數(shù)器的計(jì)數(shù)工作狀態(tài)應(yīng)為N個:S0,S1,S2…,SN-1
          對于異步置0:在輸入第N個計(jì)數(shù)脈沖CP后,通過控制電路,利用狀態(tài)SN產(chǎn)生一個有效置0信號,送給異步置0端,使計(jì)數(shù)器立刻置0,即實(shí)現(xiàn)了N進(jìn)制計(jì)數(shù)。
          對于同步置0:在輸入第N-1個計(jì)數(shù)脈沖CP時,利用狀態(tài)SN-1產(chǎn)生一個有效置0信號,送給同步置0端,等到輸入第N個計(jì)數(shù)脈沖CP時,計(jì)數(shù)器才被置0,回到初始的零狀態(tài),從而實(shí)現(xiàn)N進(jìn)制計(jì)數(shù)。

          課堂討論:異步置0時狀態(tài)SN出現(xiàn)的時間有多久?

          步驟:
          ① 寫出計(jì)數(shù)器狀態(tài)的二進(jìn)制代碼。
          下面以構(gòu)成十二進(jìn)制計(jì)數(shù)器為例進(jìn)行說明。
          當(dāng)利用異步置0端獲得十二進(jìn)制計(jì)數(shù)器時,SN=S12=1100;
          當(dāng)利用同步置0端獲得十二進(jìn)制計(jì)數(shù)器時,SN-1=S12-1=S11=1011。
          ② 寫出反饋歸零函數(shù)。
          根據(jù)SN或SN-1寫置0端的邏輯表達(dá)式。

          若用CT74LS290(異步置0)構(gòu)成十二進(jìn)制計(jì)數(shù)器,用SN ,

          可令R0A= Q3,R0B=Q2
          ③ 畫連線圖。主要根據(jù)反饋歸零函數(shù)畫連線圖。

          [例7.3.1] 試用CT74LS290構(gòu)成六進(jìn)制計(jì)數(shù)器。

          解:(1)寫出S6的二進(jìn)制代碼為
          S6=0110
          (2)寫出反饋歸零函數(shù)。由于CT74LS290的異步置0信號為高電平1,因此,

          (3)畫連線圖。
          首先實(shí)現(xiàn)8421BCD碼的十進(jìn)制計(jì)數(shù)器。
          再將異步置0輸入端R0A和R0B分別接Q2、Q1,同時將R9A和R9B接0。
          如圖7.3.6(a)所示。

          [例7.3.2]:將CT74LS290構(gòu)成九進(jìn)制計(jì)數(shù)器,如圖7.3.6(b)所示。

          圖7.3.6 用CT74LS290構(gòu)成六進(jìn)制計(jì)數(shù)器和九進(jìn)制計(jì)數(shù)器
          (a) 六進(jìn)制計(jì)數(shù)器;(b)九進(jìn)制計(jì)數(shù)器

          7.3.3 利用計(jì)數(shù)器的級聯(lián)獲得大容量N進(jìn)制計(jì)數(shù)器
          一、級聯(lián)法

          計(jì)數(shù)器的級聯(lián)是將多個集成計(jì)數(shù)器(如M1進(jìn)制、M2進(jìn)制)串接起來,以獲得計(jì)數(shù)容量更大的N(=M1×M2)進(jìn)制計(jì)數(shù)器。
          一般集成計(jì)數(shù)器都設(shè)有級聯(lián)用的輸入端和輸出端。
          異步計(jì)數(shù)器實(shí)現(xiàn)的方法:低位的進(jìn)位信號→高位的CP端

          兩片接成十進(jìn)制的CT74LS290級聯(lián)組成10×10=100進(jìn)制異步加法計(jì)數(shù)器。

          二、反饋歸零法

          例:實(shí)現(xiàn)異步二十三進(jìn)制計(jì)數(shù)器
          首先由兩片CT74LS290構(gòu)成的100進(jìn)制計(jì)數(shù)器。
          再利用反饋歸零法,S23的二進(jìn)制代碼:0010 0011
          當(dāng)高位片CT74LS290(2)計(jì)到2、低位片計(jì)到3時,兩級與非門輸出高電平1,加到異步置0端,使計(jì)數(shù)器回到初始的0狀態(tài),從而實(shí)現(xiàn)了二十三進(jìn)制計(jì)數(shù)。

          電氣符號相關(guān)文章:電氣符號大全


          分頻器相關(guān)文章:分頻器原理
          塵埃粒子計(jì)數(shù)器相關(guān)文章:塵埃粒子計(jì)數(shù)器原理
          脈沖點(diǎn)火器相關(guān)文章:脈沖點(diǎn)火器原理


          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();