<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 模擬技術(shù) > 設(shè)計(jì)應(yīng)用 > 直接數(shù)字合成是什么意思?基本方案的基本方案

          直接數(shù)字合成是什么意思?基本方案的基本方案

          作者: 時(shí)間:2011-04-28 來(lái)源:網(wǎng)絡(luò) 收藏

          直接數(shù)字合成(Direct Digital Synthesis, DDS)是一種新型的頻率合成技術(shù),具有頻率分辨率高、切換速度快等突出優(yōu)點(diǎn),在任意波形發(fā)生器中被廣泛采用。

          其基本原理如圖1所示,它主要由相位累加器、波形RAM、DAC以及低通濾波器等組成。N位相位累加器在取樣時(shí)鐘控制下與頻率控制字K所決定的相位增量?Δ相加;相位累加器的輸出(高A位)作為波形RAM的地址,實(shí)現(xiàn)波形相位到幅值的轉(zhuǎn)換;波形數(shù)據(jù)經(jīng)DAC轉(zhuǎn)換成模擬

          利用DDS技術(shù)產(chǎn)生任意波信號(hào)需要更新波形RAM中的數(shù)據(jù)[2]。但目前商品化的DDS專(zhuān)用集成電路幾乎都是基于固定正弦信號(hào)的,沒(méi)有提供更改波形數(shù)據(jù)的途徑。因此,要實(shí)現(xiàn)任意波形產(chǎn)生必須自行設(shè)計(jì)DDS。由于DDS的電路規(guī)模較大,采用可編程電路(如FPGA)實(shí)現(xiàn)是一種合理的選擇[3, 4]。

          圖1 利用DDS技術(shù)產(chǎn)生任意波信號(hào)
          相位累加器是DDS的核心,如何保證高累加速度是一個(gè)關(guān)鍵問(wèn)題。本文設(shè)計(jì)目標(biāo)為采樣速率100 MSa/s、存儲(chǔ)深度64 K?12 bits、頻率分辨率優(yōu)于100 μHz的任意波形發(fā)生器。它要求主DDS的相位累加速率達(dá)到100 MHz,累加位數(shù)不低于40 bits,實(shí)現(xiàn)上具有一定的難度。

          根據(jù)DDS的基本原理,決定相位累加器相位增量的頻率控制字,用于改變波形的輸出頻率。而波形的頻率調(diào)制過(guò)程是頻率的變化過(guò)程,不同的調(diào)制方式,信號(hào)頻率的變化規(guī)律不同,通過(guò)實(shí)時(shí)改變DDS的頻率控制字就可以用全數(shù)字的方法實(shí)現(xiàn)波形的各種頻率調(diào)制。設(shè)計(jì)的關(guān)鍵是如何將要求的調(diào)制信號(hào)實(shí)時(shí)地反映到頻率控制字上,即波形頻率調(diào)制問(wèn)題最終體現(xiàn)為頻率控制字的產(chǎn)生問(wèn)題。

          基于上述思路的任意波產(chǎn)生及頻率調(diào)制的基本方案如圖2所示。它由兩個(gè)DDS組成:主DDS用于產(chǎn)生需要輸出的任意波信號(hào),調(diào)制DDS用于產(chǎn)生調(diào)制信號(hào)(數(shù)據(jù))。調(diào)制信號(hào)數(shù)據(jù)保存在調(diào)制RAM中,根據(jù)不同的調(diào)制方式可以更改,如線形調(diào)頻時(shí)的調(diào)制信號(hào)為鋸齒波。調(diào)制信號(hào)數(shù)據(jù)和指定的調(diào)制參數(shù)進(jìn)行恰當(dāng)運(yùn)算后產(chǎn)生主DDS的頻率控制字,實(shí)時(shí)控制波形的輸出頻率。

          圖2 基于DDS的任意波產(chǎn)生及頻率調(diào)制方案



          評(píng)論


          相關(guān)推薦

          技術(shù)專(zhuān)區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();