<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計應(yīng)用 > FPGA與DSl8820型溫度傳感器通信的實現(xiàn)

          FPGA與DSl8820型溫度傳感器通信的實現(xiàn)

          ——
          作者:李弄 時間:2007-01-26 來源:《國外電子元器件》 收藏

          l 引言

          本文引用地址:http://www.ex-cimer.com/article/21376.htm

          ds18b20是dallas公司生產(chǎn)的一線式數(shù)字溫度傳感器,采用3引腳t0-92型小體積封裝;溫度測量范圍為-55℃~+125~c,可編程為9位~12位a/d轉(zhuǎn)換精度,測溫分辨率可達(dá)0.0625℃,被測溫度用符號擴(kuò)展的16位數(shù)字量方式串行輸出。

          一線式(1-wire)串行總線是利用1條信號線就可以與總線上若干器件進(jìn)行通信。具體應(yīng)用中可以利用微處理器的i/o端口對ds18b20直接進(jìn)行通信,也可以通過現(xiàn)場可編程門陣列(fpga)等可編程邏輯器件(pld)實現(xiàn)對1-wire器件的通信。

          本文介紹利用actel公司的proasicplus系列fpga實現(xiàn)與ds18b20的通信功能。fpga可以將讀出ds18b20的48位id號和12位溫度測量結(jié)果保存在內(nèi)部寄存器中,微處理器可以隨時快速地從fpga寄存器中讀取這些信息。

          一般在使用ds18b20時往往采用微處理器的i/o端口實現(xiàn)與該器件的通信,這種方法雖然比較容易和方便,但是,因為ds18b20的一線式串行總線對時序要求比較嚴(yán)格,因此,為了保證與ds18b20的通信可靠性,微處理器與ds18b20通信時需要采用關(guān)閉中斷的辦法,以防止操作時序被中斷服務(wù)破壞。

          利用fpga實現(xiàn)與。ds18b20通信不存在被迫關(guān)閉中斷的情況,可以滿足對實時性要求嚴(yán)格的應(yīng)用要求。

          2 proasicplus系列fpga簡介

          proasicplus系列fpga是actel公司推出的基于flash開關(guān)編程技術(shù)的現(xiàn)場可編程門陣列,包括從7.5萬門的apa075型到100萬門的apal000型,具有高密度、低功耗、非易失、含有嵌入式ram及可重復(fù)編程等特點。

          因為proasicplus系列fpga基于flash技術(shù),利用flash開關(guān)保存內(nèi)部邏輯,因此不需要另外的器件。由于不需要上電配置過程,因此具備上電就立即工作的特點。不用配置器件,系統(tǒng)的保密性提高。

          筆者在電力監(jiān)控的產(chǎn)品中利用apa150型fpga實現(xiàn)了邏輯控制、a/d采樣控制和fifo存儲等功能,并利用剩余的資源實現(xiàn)了ds18b20的通信功能。apa150在整個系統(tǒng)中充當(dāng)協(xié)處理器,使主cpu從繁重的實時處理中解脫出來。

          3 ds18b20簡介

          3.1內(nèi)部結(jié)構(gòu)

          ds18b20的內(nèi)部結(jié)構(gòu)如圖1所示,主要由以下幾部分組成:64位rom、溫度傳感器、非揮發(fā)的溫度報警觸發(fā)器th(溫度高)和tl(溫度低)、配置寄存器、暫存寄存器(scratchpad)、存儲器控制邏輯。dq為數(shù)字信號輸入/輸出端。

          rom中的64(8位產(chǎn)品家族編號、48位id號、8位crc)位序列號是出廠前刻好的,這64位序列號具有惟一性,每個ds18b20的64位序列號均不相同。

          8位crc生成器可以完成通信時的校驗。

          暫存寄存器有9個字節(jié),包含溫度測量結(jié)果、溫度報警寄存器、crc校驗碼等內(nèi)容。

          3.2操作步驟

          對ds18b20的操作分為3個步驟:初始化、rom命令和ds18b20功能命令。

          3.2.1初始化

          fpga要與ds18b20通信,首先必須完成初始化。fpga產(chǎn)生復(fù)位信號,ds18b20返回響應(yīng)脈沖。

          3.2.2rom命令

          該步驟完成fpga與總線上的某一具體ds18b20建立聯(lián)系。rom命令有搜尋rom(search rom)、讀rom(read rom)、匹配rom(match rom)、忽略rom(skip rom)、報警查找等命令(alarm search)。

          這里,fpga只連接1個ds18b20,因此只使用讀rom命令,來讀取ds18b20的48位id號。

          3.2.3 ds18b20功能命令

          fpga 在該步驟中完成溫度轉(zhuǎn)換(convertt)、寫暫存寄存器(write scratchpad)、讀暫存寄存器(read scratchpad)、拷貝暫存寄存器(copyscratchpad)、裝載暫存器寄存器(recall e2)、讀供電模式命令(read power supply)。

          文中不用溫度報警功能,因此在本步驟中只需完成溫度轉(zhuǎn)換,然后通過讀暫存寄存器命令完成溫度轉(zhuǎn)化的結(jié)果。

          3.3操作時序

          ds18b20的一線式操作時序如圖2所示。從時序圖中可以看出,對ds18b20的操作時序要求比較嚴(yán)格。利用fpga可以實現(xiàn)這些操作時序。

          4 fpga與ds18b20的通信

          4.1 ds18b20的操作模塊

          fpga需要完成ds18b20的初始化、讀取ds18b20的48位id號、啟動ds18b20溫度轉(zhuǎn)換、讀取溫度轉(zhuǎn)化結(jié)果。讀取48位id號和讀取溫度轉(zhuǎn)換結(jié)果過程中,fpga還要實現(xiàn)crc校驗碼的計算,保證通信數(shù)據(jù)的可靠性。

          以上操作反復(fù)進(jìn)行,可以用狀態(tài)機(jī)來實現(xiàn)。狀態(tài)機(jī)的各種狀態(tài)如下:

          reset1:對ds18b20進(jìn)行第一次復(fù)位,然后進(jìn)入delay狀態(tài),等待800μs后,進(jìn)入cmd33狀態(tài)。

          cmd33:對ds18b20發(fā)出0×33命令,讀取48位id值。

          get_id:從ds18b20中讀取48位id值。

          reset2:對ds18b20進(jìn)行第二次復(fù)位,然后進(jìn)入delay狀態(tài)等待800μs后,進(jìn)入cmdcc狀態(tài)。

          cmdcc:向ds18b20發(fā)出忽略rom命令,為進(jìn)入下一狀態(tài)作準(zhǔn)備。

          cmd44:向ds18b20發(fā)出啟動溫度轉(zhuǎn)換命令,然后進(jìn)入delay狀態(tài)等待900ms后進(jìn)入下一狀態(tài)。

          reset3:對ds18b20進(jìn)行第三次復(fù)位。

          cmdcc2:向ds18b20發(fā)出忽略rom命令,為了進(jìn)入下一狀態(tài)作準(zhǔn)備。

          get_temp:從ds18b20中讀取溫度測量數(shù)值。

          delay:等待狀態(tài)。

          write_bit:向ds18b20中寫入數(shù)據(jù)位狀態(tài)。

          read_bit:從ds18b20中讀取數(shù)據(jù)位狀態(tài)。在該狀態(tài)中每讀取1位數(shù)據(jù),同時完成該數(shù)據(jù)位的crc校驗計算。所有數(shù)據(jù)都讀取后,還要讀取8位 crc校驗位。這8位校驗位也經(jīng)過crc校驗計算,如果通信沒有錯誤,總的crc校驗結(jié)果應(yīng)該是0。這時可將通信正確的數(shù)據(jù)保存到id和 temp_data寄存器中。

          設(shè)計中采用verilog語言建立ds18b20操作模塊”ds18b20_proc”。在該模塊中實現(xiàn)以上的狀態(tài)機(jī)功能。該模塊的定義為module ds18b20 proc(sysclk,reset,dq_pim,id,temp_data,dq_ctl)。圖3示出是該模塊的仿真波形。


          從仿真波形可以看出,系統(tǒng)上電后的10ms左右,fpga可以讀出ds18b20的48位id值,這樣,主cpu在系統(tǒng)復(fù)位后很短的時間內(nèi)就可以讀取id值,進(jìn)行相應(yīng)的處理。

          4.2 fpga與cpu的接口

          在fpga中,要實現(xiàn)對ds18b20的通信處理,主模塊要實現(xiàn)對ds18b20_proc模塊的調(diào)用及建立與cpu之間的接口。

          與cpu之間的接口通過建立若干寄存器實現(xiàn)。溫度測量值和48位id可以用4個16位寄存器保存。cpu通過讀取這些寄存器可以獲得溫度測量數(shù)值和48位id值。

          cpu、fpga及ds18b20的連接原理如圖4所示。

          5 結(jié)束語

          在系統(tǒng)中,fpga可以分擔(dān)許多主處理器的工作,提高整體實時性,降低cpu處理的嚴(yán)格實時約束,從而降低cpu軟件處理的難度。同時,由于actel公司的proasicplus系列fpga的保密特性,可以增強(qiáng)產(chǎn)品知識產(chǎn)權(quán)的保護(hù)。

          本設(shè)計應(yīng)用在電力監(jiān)控產(chǎn)品中。測量出的裝置內(nèi)部溫度用于電量測量精度補(bǔ)償和報警,對保證產(chǎn)品測量精度和可靠運(yùn)行具有重要意義。48位id值用于產(chǎn)品的惟一編碼標(biāo)識和以太網(wǎng)mac地址,便于產(chǎn)品生產(chǎn)、維護(hù)和管理。




          關(guān)鍵詞:

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();