<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 基于MCU+CPLD變壓器測(cè)試系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)

          基于MCU+CPLD變壓器測(cè)試系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)

          ——
          作者:韓行1,關(guān)社軍2 時(shí)間:2007-01-26 來(lái)源:《現(xiàn)代電子技術(shù)》 收藏

          1 引言

          bx型信號(hào)變壓器,bg型軌道變壓器和zg型硅整流器作為鐵路信號(hào)電器設(shè)備的前端,其工作的穩(wěn)定性、準(zhǔn)確性直接關(guān)系到行車(chē)安全,變壓器的可靠檢測(cè)是嚴(yán)抓質(zhì)量的第一步,對(duì)于生產(chǎn)廠家而言,測(cè)試流程不允許抽樣,且測(cè)試結(jié)果應(yīng)留檔。本套測(cè)試系統(tǒng)用來(lái)測(cè)試鐵路變壓器的各種要求參數(shù),包括原邊空載電流、次邊空載電壓、次邊帶載電壓電流,變壓器絕緣電阻、原邊電壓頻率,測(cè)量結(jié)果精度要求3%,測(cè)試系統(tǒng)分為上下位機(jī)兩大部分,下位機(jī)運(yùn)用智能儀表的設(shè)計(jì)思想,在mcu和cpld控制基礎(chǔ)上對(duì)各種要求測(cè)試參數(shù)分別進(jìn)行自動(dòng)測(cè)試,上位機(jī)上采用vc++6.0編寫(xiě)軟件實(shí)現(xiàn)串口通訊,歸類(lèi)統(tǒng)計(jì)并判斷是否合格,存儲(chǔ)打印結(jié)果,系統(tǒng)滿足操作簡(jiǎn)單可靠,提高效率,減少誤差。

          2 功能介紹

          本文引用地址:http://www.ex-cimer.com/article/21415.htm

          下位機(jī)以80c196kc作為控制中樞,其主程序框圖見(jiàn)圖1,變壓器接入測(cè)試儀測(cè)試端后接通電源,打開(kāi)測(cè)試儀,測(cè)試儀首先進(jìn)行初始化,包括psd,全局變量、串口、中斷8253計(jì)數(shù)器,lcd等的初始化,然后進(jìn)行自檢,包括檢查測(cè)試儀內(nèi)部電路是否正常,是否響鈴(由變壓器輸入端電壓接反引起的報(bào)警),連續(xù)檢測(cè)8個(gè)周期的輸入端電壓并判斷輸入電源是否接好,電壓是否正常,通訊是否正常,自檢過(guò)程是串級(jí)檢測(cè)(任一項(xiàng)自檢不合格就閃燈報(bào)警),mcu根據(jù)鍵入值顯示工作狀態(tài),并調(diào)用子程序處理,人工輸入變壓器型號(hào),監(jiān)測(cè)儀進(jìn)入設(shè)置狀態(tài),控制相應(yīng)繼電器動(dòng)作,將該變壓器所有副邊繞組接入測(cè)試端,并選擇合適量程,進(jìn)入測(cè)試狀態(tài),測(cè)完參數(shù)后mcu進(jìn)行處理并顯示相應(yīng)變壓器測(cè)試結(jié)果,進(jìn)入判斷狀態(tài),判斷變壓器是否合格,不合格則觸發(fā)蜂鳴器報(bào)警,根據(jù)要求進(jìn)入通訊狀態(tài),向上位機(jī)發(fā)送和接收數(shù)據(jù)。

          本設(shè)計(jì)采用max7000系列的epm7128兩塊,其中第一塊cpld(1)的主要功能如下:

          (1)與a/d芯片(max125)相應(yīng)片腳連接,控制模/數(shù)轉(zhuǎn)換并讀入其結(jié)果,max125為并行輸出,是以字進(jìn)行操作的,所以一次要讀14位,用兩個(gè)字節(jié)鎖存。

          (2)通過(guò)系統(tǒng)總線,將a/d轉(zhuǎn)換結(jié)果傳給80c196進(jìn)行處理。

          (3)將80c196處理過(guò)的需顯示的數(shù)據(jù)緩沖,產(chǎn)生lcd的數(shù)據(jù)(8位)總線驅(qū)動(dòng)。

          (4)鍵盤(pán)響應(yīng)。

          (5)jtag功能。

          第二塊cpld(簡(jiǎn)稱(chēng)cpld(2))依據(jù)mcu發(fā)出的指令或輸入型號(hào)譯碼后驅(qū)動(dòng)控制與該型號(hào)二次繞組對(duì)應(yīng)的繼電器吸合與釋放動(dòng)作,并選擇量程。由于繼電器沒(méi)有地址,所有操作他的數(shù)據(jù)必須同時(shí)發(fā)送,即使只改變一個(gè)繼電器的狀態(tài),仍然要把沒(méi)有改變的繼電器的數(shù)據(jù)同時(shí)送給cpld鎖存,所以譯碼是必需的。

          cpld的功能結(jié)構(gòu)示意圖如圖2所示,可以認(rèn)為,cpld在下位機(jī)中起著重要的關(guān)聯(lián)作用,一方面,他與mcu相互通訊,并受mcu控制,另一方面,cpld又執(zhí)行著對(duì)前端電路、鍵盤(pán)、lcd和繼電器板等的操作任務(wù),所以從系統(tǒng)總體的角度出發(fā),cpld完成一些接口功能。

          3 頻率跟蹤測(cè)量技術(shù)

          本系統(tǒng)需要對(duì)變壓器的電壓、電流和頻率等進(jìn)行交流采樣,而交流采樣應(yīng)用成功與否,頻率的準(zhǔn)確測(cè)量是一個(gè)關(guān)鍵,因?yàn)槲覀兪侨?個(gè)正常采樣周期的平均電壓、電流值,而且由于不同型號(hào)變壓器的輸出頻率不一,本身也需要測(cè)量頻率。響應(yīng)時(shí)間、測(cè)量精度以及硬件要求是測(cè)頻方法的主要指標(biāo)。

          我們可以定量分析一下由電壓信號(hào)頻率波動(dòng)所引起的電壓信號(hào)有效值誤差的大小。

          一般工頻頻率的波動(dòng)常常要到0.1hz以上,即δf>0.1/50=0.5%,則對(duì)電壓信號(hào)有效值的影響要達(dá)到0.25%,所以應(yīng)實(shí)現(xiàn)采樣頻率對(duì)工頻頻率的在線自動(dòng)跟蹤,以保證測(cè)量精度。

          考慮到系統(tǒng)的頻率不是變化很快,要實(shí)現(xiàn)采樣頻率隨系統(tǒng)工頻的變化而實(shí)時(shí)調(diào)整,可先測(cè)得系統(tǒng)的頻率前一周期對(duì)應(yīng)的計(jì)數(shù)值tc(以單片機(jī)系統(tǒng)的定時(shí)器時(shí)鐘周期為單位),然后根據(jù)每周波采樣點(diǎn)數(shù)(n),適時(shí)計(jì)算出每一采樣間隔計(jì)數(shù)值tsj。

          間隔計(jì)數(shù)值:

          tsj=tc/n (2)

          則以tsj為周期進(jìn)行采樣,即可實(shí)現(xiàn)采樣頻率的實(shí)時(shí)跟蹤,保證了交流等間隔采樣,為實(shí)現(xiàn)這一過(guò)程,通常采用的電路結(jié)構(gòu)為:來(lái)自電壓(流)互感器的電壓(流)經(jīng)過(guò)低通濾波器和跟隨器,經(jīng)過(guò)零比較器(可用lm339)整形成方波,經(jīng)光耦(如4n35)送到80c196kc的高速輸入接口 hs1.0利用方波的上升沿觸發(fā)高速輸入中斷,側(cè)得每個(gè)工頻周期計(jì)數(shù)值tc。單片機(jī)由式(2)計(jì)算得到采樣間隔時(shí)間tsj。以tsj為時(shí)間間隔,設(shè)置軟件定時(shí)器中斷,在軟件定時(shí)器中斷中進(jìn)行采樣間隔設(shè)置,主程序根據(jù)其確定啟動(dòng)a/d的時(shí)間間隔,完成周期誤差的大大減少和采樣頻率的實(shí)時(shí)跟蹤。

          4 cpld模塊設(shè)計(jì)

          明確了cpld的主要作用后,我們?cè)趍ax+plus ii軟件上用vhdl語(yǔ)言分別實(shí)現(xiàn)這些模塊功能,設(shè)計(jì)包括4個(gè)階段:設(shè)計(jì)輸入、設(shè)計(jì)處理、設(shè)計(jì)驗(yàn)證和器件編程。vhdl主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)、行為、功能和接口。非常適用于可編程邏輯芯片的應(yīng)用設(shè)計(jì)。

          4.1 主要模塊進(jìn)程

          系統(tǒng)檢測(cè)變壓器時(shí),mcu在正常初始化后,有鍵盤(pán)輸入時(shí)會(huì)要求cpld運(yùn)行按鍵響應(yīng)進(jìn)程;cpld根據(jù)所鍵入型號(hào)運(yùn)行繼電器控制進(jìn)程,操作繼電器板,并上傳操作結(jié)果,mcu在認(rèn)為前述操作正常后,才會(huì)要求cpld啟動(dòng)對(duì)a/d控制的進(jìn)程,模/數(shù)轉(zhuǎn)換結(jié)果會(huì)送給mcu;mcu對(duì)轉(zhuǎn)換結(jié)果進(jìn)行處理后,又會(huì)要求cpld運(yùn)行顯示進(jìn)程,顯示結(jié)果lcd上。

          4.2 仿真

          以讀a/d結(jié)果(readoperation)進(jìn)程為例進(jìn)行仿真。

          ——進(jìn)程名稱(chēng):readoperation

          ——敏感變量,rd

          ——輸出變量,databusin(送到數(shù)據(jù)總線上)

          ——目的:讀操作

          readoperation:process(rd,enlcd,en125low,en125high,csif,csim)

          需要說(shuō)明的是,信號(hào)變量在仿真時(shí)需聲明其初始值,本讀操作進(jìn)程中的信號(hào)變量自會(huì)有其他進(jìn)程(如片選進(jìn)程)為其賦值,“&”作為連接運(yùn)算符,可將多個(gè)對(duì)象或矢量連接成位數(shù)更大的矢量,對(duì)readoperation進(jìn)程編譯仿真的波形圖如圖3所示。

          5 結(jié)語(yǔ)

          經(jīng)過(guò)現(xiàn)場(chǎng)試用得到實(shí)驗(yàn)測(cè)試數(shù)據(jù),表1列出3臺(tái)bx1-34型變壓器的部分參數(shù)測(cè)試結(jié)果,其中i次空流代表原邊空載電流,空壓ii12指二次繞組1和2端的空載電壓,其他類(lèi)推,ii次空壓為二次繞組的總空載電壓,滿載電壓指在二次繞組串接8ω電阻時(shí)的電壓值。

          結(jié)果滿足《信號(hào)維護(hù)規(guī)則)中對(duì)變壓器“輸入額定電壓,二次端子電壓空載時(shí)其誤差不大于端子額定電壓值的10%;容量為30-60va的變壓器滿載時(shí),其二次端子電壓不小于端子額定電壓值的85%”的規(guī)定。

          本次開(kāi)發(fā)綜合考慮了mcu和cpld的相互作用,采用了交流采樣技術(shù),認(rèn)真考慮vhdl進(jìn)程并行和cpld的結(jié)構(gòu)特點(diǎn),并應(yīng)用電路簡(jiǎn)化的幾種技巧與方法,充分利用cpld的硬件資源優(yōu)化電路,實(shí)現(xiàn)系統(tǒng)對(duì)穩(wěn)定性,精確度等方面的要求。



          關(guān)鍵詞:

          評(píng)論


          相關(guān)推薦

          技術(shù)專(zhuān)區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();