<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設計應用 > 菜鳥學單片機的一些經(jīng)歷

          菜鳥學單片機的一些經(jīng)歷

          作者: 時間:2012-09-15 來源:網(wǎng)絡 收藏
          盡管包括幾乎都是網(wǎng)上的資源,但今天就在幾分鐘前,那8只綠色LED流暢的依次發(fā)出亮光時,我不得不承認,那是一種很久都沒有過的興奮!

          從高中在《無線電》雜志上第一次與打照面開始,這個名詞當時在 大腦中是一團漿糊!進了這個專業(yè),才有了初步的認識。產(chǎn)生了興趣當然要進一步去認識,于是開始搜集資料,之后的買材料焊電路似乎是最能讓自己忘記周圍的事情了。最小系統(tǒng)是網(wǎng)上找的,說是現(xiàn)成的,就照著上面焊也不那么簡單啊。最終板子以面目全非的樣子成型了,估計讓帶我們工藝實習的潘老師打分及格都困難??!為了能利用串口下載又花了重金(對我來說就是)買了個串口轉(zhuǎn)接卡,一定程度上被廠家的廣告騙了,但今天第一次牛刀小試到也還流暢,沒出現(xiàn)網(wǎng)上所說的不穩(wěn)定。程序雖然是網(wǎng)上的不過被我修改了,這當中了解到所特有的C語言函數(shù)。在前兩次串口下載程序時,總是提示連接失敗,在降低串口最高波特率后竟然成功了,但緊接著發(fā)現(xiàn)程序雖然進去了但它并沒有工作。后來覺得這是個程序上的低級錯誤,是我生搬硬套造成的,做了修改之后終于工作了。

          寫到這兒,我得小心翼翼的說,在這方面的大牛跟前我只是個菜鳥!所以沒有班門弄斧一說,只為了記錄心情。也為了鼓勵自己。

          數(shù)碼管動態(tài)顯示總算做出來了,不過還是沒有想象中的那么盡善盡美。這一次花了很長時間,也正因為花的時間長,也讓我在這過程中領悟到了一些原理和一些學習上的硬傷!真正動手做是在前天下午,之前都是在軟件上仿真,不知道鉆牛角尖的習慣好不好,在仿真的時候是有問題的(兩位數(shù)碼管只有個位在顯示?。屑毞治鲋蟠_定程序是沒有錯誤的。當時就想起來曾經(jīng)在網(wǎng)上看到其他人用proteus這工具時也出現(xiàn)過的問題。后來把這問題貼到51hei論壇上問了,還被一技術牛人嚴肅的告知這軟件會害我一輩子,不知道這是不是有點言過其實。個人認為學習硬件的過程主要是要動手,但在軟件上仿真也是一個學習的重要手段。不過在我真正把數(shù)碼管電路焊好接著又把程序下載進去出現(xiàn)的是亂碼,這還是讓我一下沒了頭緒(現(xiàn)在看來這也是我需要改進學習的地方!)。在這之后很長一段時間我并沒有懷疑數(shù)碼管的極性(共陰或共陽),后來還是聽一位學長的建議讓我只用靜態(tài)方式顯示一個數(shù)字看看再說,這樣一試問題還真出來了!而且還是很明顯的能看出來是最初判斷的錯誤。這是在焊之前用萬用表測數(shù)碼管的公共腳時由于萬用表的紅黑表筆反接導致把共陽當成共陰焊的(不過好像共陰的應該要簡單點),共陽碼應該還要加三極管位選,程序里對應的段碼也應該取反。拆電路重接是不可能的,因為本人的焊接技術導致我的元件只能焊一次。只好把程序改一下。但由于是共陽碼,電路是共陰位選的形式,數(shù)字在變化過程中很明顯亮度不夠。不過動態(tài)顯示總算做出來了還沒有亂碼這也許就是最大的酬勞吧。

          經(jīng)過這次的動手實驗,總結(jié)了一些經(jīng)驗,首先就是最起碼自己的工具(我的就是萬用表筆接錯)不能有差錯;出現(xiàn)問題就得靜下心來逐步排查,而不是毫無頭緒的去檢查;最重要的還是理論知識要跟上,不然像我就有點只能看到表象的感覺。

          此文謹做學習筆記用!

          電路圖文章專題:電路圖符號大全




          評論


          相關推薦

          技術專區(qū)

          關閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();