<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 由可編程邏輯器件與單片機(jī)構(gòu)成的雙控制器

          由可編程邏輯器件與單片機(jī)構(gòu)成的雙控制器

          作者: 時(shí)間:2012-02-25 來(lái)源:網(wǎng)絡(luò) 收藏

          在傳統(tǒng)的控制系統(tǒng)中,人們常常采用單片機(jī)作為控制核心。但這種方法硬件連線復(fù)雜,可靠性差,且單片機(jī)的端口數(shù)目、內(nèi)部定時(shí)器和中斷源的個(gè)數(shù)都有限,在實(shí)際應(yīng)用中往往需要外加擴(kuò)展芯片。這無(wú)疑對(duì)系統(tǒng)的設(shè)計(jì)帶來(lái)諸多不便。

          現(xiàn)在有很多系統(tǒng)采用可編程邏輯器件作為控制核心。它與傳統(tǒng)設(shè)計(jì)相比較,不僅簡(jiǎn)化了接口和控制,提高了系統(tǒng)的整體性能及工作可靠性,也為系統(tǒng)集成創(chuàng)造了條件。但可編程邏輯器件的D觸發(fā)器資源非常有限,而且可編程邏輯器件在控制時(shí)序方面不如單片機(jī)那樣方便,很多不熟悉的應(yīng)用者往往感到應(yīng)用起來(lái)非常的困難。利用可編程邏輯器件和單片機(jī)構(gòu)成的雙向通信控制器克服了兩者的缺點(diǎn),且把二者的長(zhǎng)處最大限度地發(fā)揮出來(lái)。

          1 與單片機(jī)雙向原理

          1.1 單片機(jī)到可編程邏輯器件的

          單片機(jī)到接口電路是利用VHDL語(yǔ)言在CPLD中設(shè)計(jì)一個(gè)串行輸入并行輸出的八位移位寄存器,其端口與單片機(jī)的P1.4~P1.7相連,如圖1所示。CS 為單片機(jī)選信號(hào),當(dāng)其為低時(shí)使能八位寄存器;當(dāng)DCLOCK信號(hào)的上升沿到達(dá)clk端口時(shí),八位移位寄存器就會(huì)將單片機(jī)輸出到cxin的一位數(shù)據(jù)移入;當(dāng)單片機(jī)A寄存器中的八位數(shù)據(jù)欲傳送給CPLD時(shí),就在P1.6連續(xù)產(chǎn)生八次上升沿,單片機(jī)便順次地將A中的數(shù)據(jù)移到cxin,八次后A中的數(shù)據(jù)段就會(huì)出現(xiàn)在CPLD的cxout中。其VHDL源程序如下:

          entity cuanxing is

          port (clk,cxin,cs:in std_logic;

          cxout:out std_logic_vector(7 downto 0));

          end;

          architecture rtl of cuanxing is

          signal shift:std_logic_vector(7 downto 0) ;八位暫存變量并行輸出

          begin

          process(clk)

          begin

          if(cs='0')then

          shift=(others=>'0');若未被選中,輸出全零

          elsif(clk'event and clk='1')then ;若上升沿到達(dá)clk時(shí),被選中。

          shift(7 downto 1)=shift(6 downto 0) ;八位數(shù)據(jù)前移一位

          shift(0)=cxin;最低位由cxin輸入


          上一頁(yè) 1 2 下一頁(yè)

          關(guān)鍵詞: CPLD AT89C51 串行通信

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();