<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計應(yīng)用 > 交通信號燈控制電路的FPGA設(shè)計

          交通信號燈控制電路的FPGA設(shè)計

          作者: 時間:2012-01-07 來源:網(wǎng)絡(luò) 收藏

          1 引言

          隨著社會上特別是城市中機(jī)動車輛保有量的不斷增加,在現(xiàn)代城市的日常運(yùn)行控制中,車輛的交通控制越來越重要,在十字交叉路口,越來越多的使用紅綠燈進(jìn)行交通指揮和管理。本文以VHDL硬件描述語言為設(shè)計手段,完成了交通信號燈控制電路的開發(fā),其中交通信號燈控制電路的開發(fā)目的是設(shè)計一個適用于主、支干道十字交叉路口的紅黃綠交通燈的控制系統(tǒng),通過合理設(shè)計系統(tǒng)功能,使紅黃綠燈的轉(zhuǎn)換有一個準(zhǔn)確的時間間隔和轉(zhuǎn)換順序,當(dāng)然這就需要有一個自動和安全的系統(tǒng)對紅、黃、綠燈的轉(zhuǎn)換進(jìn)行控制。所設(shè)計的交通信號燈控制電路經(jīng)過在QuartusII 5.0軟件下進(jìn)行模擬仿真,觀察其波形,并通過將程序下載到目標(biāo)器件,進(jìn)行硬件的調(diào)試驗證,證明所設(shè)計的交通信號燈控制電路完全可以實現(xiàn)預(yù)定的功能,并有一定的實用性。

          2 系統(tǒng)設(shè)計要求

          所要設(shè)計的交通信號燈控制電路,要能夠適用于由一條主干道和一條支干道的匯合點形成的十字交叉路口,主、支干道的紅綠燈閃亮?xí)r間不完全相同。具體的設(shè)計要求如下:實現(xiàn)控制一條主干道和一條次干道匯合組成的十字交叉路口,使主、支干道上的車輛行人交替通行,其中主干道車輛行人通行60秒,此時支干道上車輛行人禁止通行;支干道車輛通行30秒,同樣此時主干道上車輛禁止通行。每當(dāng)信號燈由綠燈轉(zhuǎn)換成紅燈之前,黃燈要先亮5秒,此時另一個干道紅燈不變,禁止通行。在黃燈亮之前,綠燈按1HZ頻率閃爍5秒,以提示車輛行人。在主干道上安裝有數(shù)碼管,用來顯示本道各信號燈閃亮的時間。

          3 系統(tǒng)設(shè)計方案及其邏輯設(shè)計

          3.1 系統(tǒng)設(shè)計方案

          通過參考其他的相關(guān)文獻(xiàn)[1],[2],[4],[5],[6],[7],對照研究如基于單片機(jī)、基于分立元件等其他設(shè)計手段的優(yōu)缺點,我們認(rèn)為使用基于的設(shè)計方法具有周期短,設(shè)計靈活,易于修改等明顯的優(yōu)點,而且隨著器件、設(shè)計語言和電子設(shè)計自動化工具的發(fā)展和改進(jìn),越來越多的電子系統(tǒng)采用FPGA來設(shè)計,還有,通過FPGA設(shè)計電子系統(tǒng),一旦該系統(tǒng)可以達(dá)到一定規(guī)模的量產(chǎn),很容易轉(zhuǎn)化為ASIC芯片設(shè)計。相信在將來,F(xiàn)PGA設(shè)計方法將更大規(guī)模的應(yīng)用于各種類型的電子系統(tǒng)設(shè)計中。因此,我們決定使用VHDL硬件描述語言來編程實現(xiàn)系統(tǒng)設(shè)計要求,并采用自頂向下的設(shè)計思路,將系統(tǒng)劃分為6個模塊來實現(xiàn),分別是模塊、顯示控制模塊、顯示模塊、60秒器模塊、30秒器模塊和5秒器模塊。如圖1所示。

          圖1 交通信號燈控制電路的模塊劃分

          3.2 邏輯設(shè)計

          根據(jù)上文中確定的系統(tǒng)設(shè)計方案,運(yùn)用模塊化的設(shè)計思路,我們在QuartusII 5.0軟件系統(tǒng)中設(shè)計了模塊、顯示控制模塊、顯示模塊、60秒定時器模塊、30秒定時器模塊和5秒定時器模塊的VHDL程序,并通過各個模塊程序之間的端口合理連接和協(xié)調(diào),成功設(shè)計出交通信號燈控制電路,得到其邏輯結(jié)構(gòu)原理圖,即為整個交通信號燈控制電路的邏輯結(jié)構(gòu)。

          4 設(shè)計驗證

          通過在QuartusII 5.0軟件中的模擬仿真,驗證了該電路可以實現(xiàn)預(yù)定功能,即主、支干道交替通行,主干道通行60秒,支干道通行30秒。綠燈轉(zhuǎn)換成紅燈前,黃燈先亮5秒,此時另一個干道紅燈不變。當(dāng)黃燈亮完5秒之后,另一條干道的綠燈亮而紅黃燈都不亮,此時該干道允許通行,主干道有數(shù)字顯示各燈閃亮的時間。如此一直循環(huán)往復(fù),實現(xiàn)十字交叉路口的交通控制。另外我們還實現(xiàn)了一個附加功能,即當(dāng)支干道一直沒有車通過的時候,主干道一直處于暢通狀態(tài),這樣可以在實際中遇到特殊情況時,來靈活控制應(yīng)用,另外還可以加入人工控制端,即當(dāng)遇有需進(jìn)行交通管制等情形時,可以由交通警察來手工控制路口信號燈的轉(zhuǎn)換。

          為了更加準(zhǔn)確的仿真和驗證電路的功能,我們根據(jù)實際生活中的多種交通情況,將其進(jìn)行分類,設(shè)定了十字路口出現(xiàn)的多個情境,并在QuartusII 5.0軟件環(huán)境中,分別對所設(shè)計的系統(tǒng)電路針對每個設(shè)定情境進(jìn)行功能仿真,圖2至圖5是其中幾種設(shè)定情境的實驗仿真結(jié)果(圖中的輸入變量SM,SB分別為主支干道傳感信號,CLK為來自時鐘發(fā)生電路的時鐘信號,輸出信號MR,MY,MG分別為主干道上的紅燈、黃燈和綠燈,BR,BY,BG分別為支干道上的紅燈、黃燈和綠燈,而OUT1,OUT2為主干道輸出,OUT3,OUT4為支干道輸出)。通過觀察這些情境下的實驗仿真結(jié)果,發(fā)現(xiàn)符合我們預(yù)想的功能,可以有序、準(zhǔn)確的控制路口交通信號燈的順序轉(zhuǎn)換,并沒有發(fā)生誤操作。

          除了進(jìn)行軟件的仿真之外,我們還將整體程序下載到目標(biāo)FPGA器件,使用相應(yīng)的硬件電路相配合,進(jìn)行硬件調(diào)試,也驗證了該電路工作情況良好,與軟件仿真的結(jié)果完全相符合,說明我們所設(shè)計的交通信號燈控制電路通過了軟件仿真和硬件的測試,可以完成之前的預(yù)定功能。

          圖2 交通信號燈控制電路的仿真結(jié)果一

          圖3 交通信號燈控制電路的仿真結(jié)果二

          圖4 交通信號燈控制電路的仿真結(jié)果三

          圖5 交通信號燈控制電路的仿真結(jié)果四

          5 結(jié)論

          通過實驗仿真結(jié)果表明,我們所設(shè)計的交通信號燈控制電路能夠很好的完成相應(yīng)的控制,實現(xiàn)了預(yù)定功能,通過硬件下載和調(diào)試,電路工作正常,控制結(jié)果完全達(dá)到相應(yīng)的要求。

          本文作者的創(chuàng)新觀點是:使用VHDL語言設(shè)計了一個實用的交通信號燈控制電路,通過軟件仿真和硬件調(diào)試,電路完成了預(yù)定功能,如果對其電路功能加以進(jìn)一步的改進(jìn)和完善,將其產(chǎn)品化后完全可以達(dá)到實用的目的。

          參考文獻(xiàn)

          [1] 藍(lán)運(yùn)維. 基于FPGA的系統(tǒng)的仿真[J].現(xiàn)代電子技術(shù),2006(9):125-129.

          [2] 何峰. 基于Verilog HDL設(shè)計的交通燈控制系統(tǒng)[J].現(xiàn)代電子技術(shù),2005(8):103-107.

          [3] 路而紅.專用集成電路設(shè)計與電子設(shè)計自動化[M].北京:清華大學(xué)出版社,2004.

          [4] 孫凌翔,陳世和,李勁松. 順序控制法在交通燈控制系統(tǒng)中的應(yīng)用[J],現(xiàn)代電子技術(shù),2006(10):26-27.

          [5] 王成勇. 智能交通燈控制系統(tǒng)[J]. 廣東技術(shù)師范學(xué)院學(xué)報,2006(4):92-94.

          [6] 陳勝利.PLC在控制交通信號燈中的應(yīng)用[J].機(jī)電一體化,2003(9):85-87.

          [7] 李靜. 單交叉口交通燈信號模糊控制及其仿真[J].微計算機(jī)信息,2006,22(3-1):33-38.



          關(guān)鍵詞: 交通燈控制 FPGA 定時 譯碼

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();