<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 單片機(jī)控制的密碼鎖設(shè)計(jì)

          單片機(jī)控制的密碼鎖設(shè)計(jì)

          作者: 時(shí)間:2011-10-22 來源:網(wǎng)絡(luò) 收藏
          設(shè)計(jì)。AT89S52單片機(jī)P1引腳外接獨(dú)立式按鍵S1-S8,分別代表數(shù)字鍵0-5、確定鍵、取消鍵。單片機(jī)從P3.0-P3.3輸出4個(gè)信號(hào),分別為1個(gè)電磁開鎖驅(qū)動(dòng)信號(hào)和密碼錯(cuò)誤指示、報(bào)警輸出、已開鎖指示信號(hào),分別用發(fā)光二極管L1-L4指示。P3.4接一有源蜂鳴器,用于實(shí)現(xiàn)提示音。
          基本要求:
          (1)初始密碼為123450,輸完后按確定鍵開鎖,取消鍵清除所有輸入,每次按鍵有短“滴”聲按鍵提示音。
          (2)密碼輸入正確后,輸出一個(gè)電磁鎖開鎖信號(hào)與已開鎖信號(hào),并發(fā)出兩聲短“滴”聲提示。4秒后開鎖信號(hào)與已開鎖指示清零。
          (3)密碼輸入錯(cuò)誤時(shí),發(fā)出一聲長(zhǎng)“滴”聲錯(cuò)誤指示提示音,并密碼錯(cuò)誤指示燈亮,三次密碼錯(cuò)誤時(shí),發(fā)出長(zhǎng)鳴聲報(bào)警,并密碼錯(cuò)誤指示燈亮,報(bào)警指示燈亮,此后15秒內(nèi)無法再次輸入密碼,15秒過后,清除所有報(bào)警和指示。
          (4)5秒內(nèi)無任何操作后,清除所有輸入內(nèi)容,等待下次輸入。

          3.3.1模塊1 系統(tǒng)設(shè)計(jì)
          (1)分析任務(wù)要求。寫出系統(tǒng)整體設(shè)計(jì)思路
          根據(jù)題目的要求,需要考慮如下幾個(gè)任務(wù):按鍵的輸入,密碼的判斷,密碼輸入正確或錯(cuò)誤的計(jì)時(shí)、輸出信號(hào)的控制等。
          鍵盤的輸入:由于需要輸入6個(gè)數(shù)字作為密碼,先要判斷按鍵時(shí)數(shù)字鍵還是功能鍵,若判斷為數(shù)字鍵按下,則需要將每次鍵盤的輸入內(nèi)容依次暫存在一個(gè)數(shù)組中。在每次按鍵輸入時(shí),需要啟動(dòng)定時(shí)器實(shí)現(xiàn)待機(jī)計(jì)時(shí)(5秒)。若5秒內(nèi)沒有輸入內(nèi)容則清除已輸入的內(nèi)容。
          密碼的判斷和計(jì)時(shí):在按下確認(rèn)鍵之后,要將輸入的內(nèi)容與初始密碼核對(duì),如果密碼正確,輸出相應(yīng)的指示,同時(shí)還要啟動(dòng)定時(shí)器實(shí)現(xiàn)4s的計(jì)時(shí)。如果密碼錯(cuò)誤,錯(cuò)誤計(jì)數(shù)變量增1,同時(shí)輸出密碼指示信號(hào),若錯(cuò)誤次數(shù)超過3s,則輸出報(bào)警等信號(hào),同時(shí)啟動(dòng)定時(shí)器實(shí)現(xiàn)15秒的計(jì)時(shí)。
          輸出信號(hào)的控制主要根據(jù)按鍵輸入與密碼的核對(duì)情況來決定。
          整體程序設(shè)計(jì)思想:
          程序分為主程序和中斷服務(wù)程序兩個(gè)主要部分,主程序完成變量和單片機(jī)特殊功能寄存器的初始化后,進(jìn)入一個(gè)循環(huán)結(jié)構(gòu)。在循環(huán)中,首先判斷有無按鍵按下,若有按鍵則判斷是否數(shù)字鍵還是功能鍵,根據(jù)按鍵的情況執(zhí)行相應(yīng)的功能。然后根據(jù)密碼是否正確的判斷情況,執(zhí)行相應(yīng)的操作。循環(huán)中最后將需要顯示的內(nèi)容通過動(dòng)態(tài)掃描在數(shù)碼管上顯示。
          中斷服務(wù)程序只要實(shí)現(xiàn)三個(gè)狀態(tài)的計(jì)時(shí),待機(jī)時(shí)需要計(jì)時(shí)5秒,密碼正確需要計(jì)時(shí)5s,密碼3次輸入錯(cuò)誤需要計(jì)時(shí)15秒。當(dāng)前處于何種計(jì)時(shí),由主程序根據(jù)密碼判斷結(jié)果來決定。
          (2)選擇單片機(jī)型號(hào)和所需外圍器件型號(hào),設(shè)計(jì)單片機(jī)硬件電路原理圖
          采用MCS51系列單片機(jī)At89S51作為主控制器,外圍電路器件包括數(shù)碼管驅(qū)動(dòng)、蜂鳴器的輸出驅(qū)動(dòng)、獨(dú)立式鍵盤以及發(fā)光二極管的輸出等。
          數(shù)碼管驅(qū)動(dòng)采用2個(gè)四聯(lián)共陰極數(shù)碼管顯示,由于單片機(jī)驅(qū)動(dòng)能力有限,采用74HC244作為數(shù)碼管的驅(qū)動(dòng)。在74HC244的7段碼輸出線上串聯(lián)100歐姆電阻起限流作用。
          蜂鳴器的驅(qū)動(dòng)采用PNP三極管8550來驅(qū)動(dòng),低電平有效。
          獨(dú)立式按鍵使用上提拉電路連接,在沒有鍵按下時(shí),輸出高電平。發(fā)光二極管串聯(lián)500歐姆電阻再接到電源上,當(dāng)輸入為低電平時(shí),發(fā)光二極管導(dǎo)通發(fā)光。
          硬件電路原理圖如圖3-9所示。

          圖3-9 電路原理圖
          (3)分析軟件任務(wù)要求,寫出程序設(shè)計(jì)思路,分配單片機(jī)內(nèi)部資源,畫出程序流程圖
          軟件任務(wù)要求主要包括按鍵掃描、密碼判斷、動(dòng)態(tài)掃描輸入的內(nèi)容、計(jì)時(shí)、指示信號(hào)輸出以及蜂鳴器提示音的輸出等。主程序主要完成變量與寄存器的初始化、按鍵的掃描與判斷、密碼的判斷以及數(shù)碼管動(dòng)態(tài)掃描顯示等。主程序流程圖如圖3-10所示。

          圖3-10 的主程序流程圖

          中斷服務(wù)程序主要完成三種定時(shí)的計(jì)時(shí)工作,包括①按鍵之后啟動(dòng)的待機(jī)計(jì)時(shí),當(dāng)待機(jī)超過5s則清除已輸入的內(nèi)容。②密碼輸入正確之后的計(jì)時(shí),4s之后清除開鎖驅(qū)動(dòng)信號(hào)與已開鎖指示信號(hào)。 ③密碼輸入錯(cuò)誤3次的計(jì)時(shí),計(jì)時(shí)15s,在則15s內(nèi)無法再次輸入密碼,15秒過后清除所有報(bào)警與指示。中斷服務(wù)程序流程圖如圖3-11所示。

          圖3-11 密碼鎖中斷服務(wù)程序流程圖
          單片機(jī)資源的分配與變量的定義:
          密碼的輸入與判斷需要定義4個(gè)變量。原始密碼存儲(chǔ)在數(shù)組init_val[6]中。鍵盤輸入的密碼存儲(chǔ)在數(shù)據(jù)show_val[6]中,變量 key_index的值表示當(dāng)前按鍵是六位密碼中的哪一位,每輸入一個(gè)密碼數(shù)字該變量增一。密碼輸入錯(cuò)誤的次數(shù)暫存在變量error_num中。
          計(jì)時(shí)功能需要5個(gè)變量。模式變量cnt_state存儲(chǔ)計(jì)時(shí)屬于什么狀態(tài),0表示待機(jī)計(jì)時(shí),1表示密碼正確的計(jì)時(shí),2表示密碼錯(cuò)誤3次的計(jì)時(shí)。三個(gè)變量(cnt_val_15s,cnt_val_5s, cnt_val_4s)分別實(shí)現(xiàn)待機(jī)、密碼正確和密碼錯(cuò)誤3次后的計(jì)時(shí)工作。定時(shí)器T1每250ms產(chǎn)生一次中斷,變量T1_cnt記錄定時(shí)器溢出中斷的次數(shù),當(dāng)記錄到4000時(shí)表示計(jì)時(shí)1秒。
          (4)設(shè)計(jì)系統(tǒng)軟件調(diào)試方案、硬件調(diào)試方案及軟硬件聯(lián)合調(diào)試方案
          軟件調(diào)試方案:偉福軟件中,在“文件新建文件”中,新建C語言源程序文件,編寫相應(yīng)的程序。在“文件新建項(xiàng)目”的菜單中,新建項(xiàng)目并將C語言源程序文件包括在項(xiàng)目文件中。
          在 “項(xiàng)目編譯”菜單中將C源文件編譯,檢查語法錯(cuò)誤及邏輯錯(cuò)誤。在編譯成功后,產(chǎn)生以 “*.hex”和“*.bin” 后綴的目標(biāo)文件。
          硬件調(diào)試方案:在設(shè)計(jì)平臺(tái)中,將單片機(jī)的P1.0-P1.7分別與8個(gè)獨(dú)立式鍵盤通過插線連接起來,將P3.0-P3.3分別與4個(gè)發(fā)光二極管連接起來,P3.4與蜂鳴器的輸入連接起來。
          在偉福中將程序文件編譯成目標(biāo)文件后,將下載線安裝在實(shí)驗(yàn)平臺(tái)的下載線接口上,運(yùn)行“MCU下載程序”,選擇相應(yīng)的flash 數(shù)據(jù)文件,點(diǎn)擊“編程”按鈕,將程序文件下載到單片機(jī)的Flash中。
          然后,上電重新啟動(dòng)單片機(jī),檢查所編寫的程序是否達(dá)到題目的要求,是否全面完整地完成試題的內(nèi)容。

          3.3.2 程序設(shè)計(jì)
          //晶振11.0592MHz,T1每250微秒中斷,按鍵P1.0-P1.7,發(fā)光二極管接P3.0-P3.3,p3.4
          /*變量的定義:
          show_val[6]: 顯示的值
          init_val[6]: 密碼初始值
          key_val: 返回按鍵的值 255-表示無按鍵按下
          key_index: 當(dāng)前按鍵是哪一位密碼
          T1_cnt: 定時(shí)器計(jì)數(shù)溢出數(shù)
          cnt_val_15s: 報(bào)警計(jì)時(shí)的數(shù)值
          cnt_val_5s: 待機(jī)時(shí)間計(jì)時(shí)
          cnt_val_4s: 輸入正確,等待4秒清除開鎖信號(hào)
          cnt_state: 計(jì)時(shí)狀態(tài)
          error_num: 錯(cuò)誤次數(shù)
          led_seg_code:數(shù)碼管7段碼
          */
          #include "reg51.h"
          /*說明key0=P1^0; key1=P1^1;key2=P1^2; key3=P1^3;key4=P1^4;key5=P1^5;enter=P1^6;esc=P1^7;*/
          sbit relay_open=P3^0; //電磁鎖開鎖驅(qū)動(dòng)
          sbit pw_error=P3^1; //密碼錯(cuò)誤信號(hào)
          sbit alarm_out=P3^2; //報(bào)警輸出
          sbit open_lock=P3^3; //已開鎖指示信號(hào)
          sbit audio_out=P3^4; //有源蜂鳴器
          unsigned char data cnt_val_15s,cnt_val_5s,cnt_val_4s,cnt_state;
          unsigned int data T1_cnt;
          unsigned char data key_val,key_index,key_val_old;
          unsigned char data state_val,error_num;
          unsigned char data show_val[6];
          char code init_val[6]={1,2,3,4,5,0};
          char code led_seg_code[11]={0x3f,0x06,0x05b,0x04f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x00};
          //led_seg_code[0-9]代表0-9 led_seg_code[10]=0x00數(shù)碼管不顯示任何內(nèi)容
          //--------延時(shí)程序----------------
          void delay(unsigned int i)//延時(shí)
          { while(--i); }
          //--------清除輸入內(nèi)容----------
          void init_variant()
          {unsigned char i;
          for(i=0;i6;i++)
          show_val[i]=10; //led_seg_code[10]=0x00表示數(shù)碼管不顯示任何內(nèi)容
          key_index=0; //沒有任何輸入或清除所有輸入時(shí),保存當(dāng)前鍵的位置
          }
          //---------按鍵掃描---------------
          unsigned char scan_key()
          { unsigned char i,k;
          i=P1;
          if (i==0xff cnt_state!=2)
          { k=255; } //無鍵按下
          else //有鍵按下
          { delay(500); //延時(shí)去抖動(dòng)
          if(i!=P1)
          {k=255;}
          else
          { TR1=1; //有鍵按下則開定時(shí)器,啟動(dòng)待機(jī)計(jì)時(shí)
          cnt_val_5s=0;
          switch (i)
          { case 0xfe: k=0; break;
          case 0xfd: k=1; break;
          case 0xfb: k=2; break;
          case 0xf7: k=3; break;
          case 0xef: k=4; break;
          case 0xdf: k=5; break;
          case 0xbf: k=6; break;
          case 0x7f: k=7; break;
          }
          }
          }
          return k;
          }
          //---------數(shù)碼管顯示---------------
          void led_show()
          {P0=led_seg_code[show_val[0]];
          P2=0xdf;
          delay(500);
          P0=led_seg_code[show_val[1]];
          P2=0xef;
          delay(500);
          P0=led_seg_code[show_val[2]];
          P2=0xf7;
          delay(500);
          P0=led_seg_code[show_val[3]];
          P2=0xfb;
          delay(500);
          P0=led_seg_code[show_val[4]];
          P2=0xfd;
          delay(500);
          P0=led_seg_code[show_val[5]];
          P2=0xfe;
          delay(500);
          }
          //--------定時(shí)器T1中斷服務(wù)程序-----------------
          void timer1() interrupt 3 //T1中斷
          { T1_cnt++;
          if(T1_cnt>3999) //如果計(jì)數(shù)>3999, 計(jì)時(shí)1s
          { T1_cnt=0;
          switch (cnt_state)
          { case 0: //待機(jī),需要計(jì)時(shí)5s
          if(cnt_val_5s5)
          { cnt_val_5s++;}
          else
          { cnt_val_5s=0;
          init_variant();//待機(jī)計(jì)時(shí)到5秒時(shí),清除輸入的內(nèi)容
          TR1=0; //停止計(jì)時(shí)
          }
          break;
          case 1://密碼輸入正確,需要計(jì)時(shí)4s
          if(cnt_val_4s4)
          { cnt_val_4s++;}
          else
          { cnt_val_4s=0;
          init_variant();//密碼輸入正確,計(jì)時(shí)到4秒時(shí),清除輸入的內(nèi)容
          open_lock=1; //已開鎖信號(hào)清零
          relay_open=1; //開鎖信號(hào)清零
          cnt_state=0;
          TR1=0; //停止計(jì)時(shí)
          }
          break;
          case 2: //密碼輸入錯(cuò)誤3次,計(jì)時(shí)15s
          if(cnt_val_15s15)
          { cnt_val_15s++;}
          else
          { cnt_val_15s=0;
          init_variant();//三次密碼錯(cuò)誤時(shí),計(jì)時(shí)15秒,清除輸入的內(nèi)容
          open_lock=1; // 清除所有指示和報(bào)警
          relay_open=1;
          alarm_out=1;
          pw_error=1;
          cnt_state=0;
          TR1=0; //停止計(jì)時(shí)
          }
          break;
          }
          }
          }
          //--------判斷鍵盤輸入內(nèi)容與密碼是否一致------
          unsigned char check_input_pw()
          { unsigned char i,k;
          k=1;
          for(i=0;i6;i++)
          { k=k (show_val[i]==init_val[i]); }
          return k;
          }
          //---------主程序----------------
          main()
          { //初始化各變量
          audio_out=1;
          P3=0xff;
          cnt_val_15s=0;
          cnt_val_5s=0;
          cnt_val_4s=0;
          cnt_state=0;
          //0-待機(jī)計(jì)時(shí)5s狀態(tài);1-密碼正確,計(jì)時(shí)4s狀態(tài) ;2-三次密碼錯(cuò)誤,處于計(jì)時(shí)15秒狀態(tài)。
          T1_cnt=0;
          error_num=0;
          key_val_old=255;
          init_variant();
          //初始化51的寄存器
          TMOD=0x20; //用T1計(jì)時(shí) 8位自動(dòng)裝載定時(shí)模式
          TH1=0x19; //500微秒溢出一次; 250=(256-x)*12/11.0592 -> x=19
          TL1=0x19;
          EA=1; //開中斷
          ET1=1;
          TR1=0; //開定時(shí)器T1
          while(1)
          { key_val=scan_key(); //按鍵輸入,有鍵按下key_val為0-7,無鍵按下key_val為255。
          if (key_val!=key_val_old)
          { key_val_old=key_val;
          if (key_val!=255 cnt_state!=2)
          { audio_out=0;
          delay(100); //延時(shí)去抖動(dòng)
          audio_out=1;
          switch (key_val)
          { case 0:
          case 1:
          case 2:
          case 3:
          case 4:
          case 5:
          if(key_index6) //密碼為6位,超過6位視為輸入無效
          { show_val[key_index]=key_val;
          key_index++; }
          break;
          case 6: //確認(rèn)鍵
          if(check_input_pw())
          {//密碼正確
          error_num=0; //密碼輸入錯(cuò)誤次數(shù)清零
          //---------
          pw_error=1; //密碼錯(cuò)誤指示燈滅
          relay_open=0; //開鎖驅(qū)動(dòng)信號(hào)燈亮
          open_lock=0; //已開鎖信號(hào)燈亮
          //---------
          delay(50000); //兩聲短“滴”聲
          audio_out=0;
          delay(50000);
          audio_out=1;
          delay(50000);
          audio_out=0;
          delay(50000);
          audio_out=1;
          //---------
          cnt_state=1; //下一狀態(tài)處于4秒計(jì)時(shí)的狀態(tài)
          TR0=1; //啟動(dòng)定時(shí)
          }
          else
          { if (error_num2)
          {error_num++; //輸入錯(cuò)誤次數(shù)小于3次時(shí),沒錯(cuò)一次error_num增一
          pw_error=0; //密碼錯(cuò)誤指示燈亮
          //-----------
          delay(20000);//一聲長(zhǎng)“滴”聲,提示錯(cuò)誤
          audio_out=0;
          delay(60000);
          audio_out=1;
          //-----------
          init_variant();//清除所有輸入,等待下一次輸入
          }
          else //輸入錯(cuò)誤次數(shù)超過3次
          { alarm_out=0; //報(bào)警燈亮
          pw_error=0; //密碼錯(cuò)誤指示燈亮
          error_num=0; //密碼輸入錯(cuò)誤次數(shù)清零
          //----------
          audio_out=0; //長(zhǎng)鳴聲報(bào)警
          delay(60000);
          delay(60000);
          delay(60000);
          delay(60000);
          delay(60000);
          delay(60000);
          delay(60000);
          delay(60000);
          delay(60000);
          audio_out=1;
          //-------------
          TR1=1; //打開定時(shí)器計(jì)時(shí)
          cnt_state=2; //下一狀態(tài)處于15秒計(jì)時(shí)的狀態(tài)
          }
          }
          break;
          case 7://取消鍵
          init_variant();
          break;
          }
          }
          }
          led_show();
          }
          }
          //-----程序結(jié)束-----------------



          關(guān)鍵詞: 單片機(jī)控制 密碼鎖

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();