<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 基于USB 2.0協(xié)議的高速圖像傳輸系統(tǒng)

          基于USB 2.0協(xié)議的高速圖像傳輸系統(tǒng)

          作者: 時(shí)間:2011-06-06 來(lái)源:網(wǎng)絡(luò) 收藏
            0 引 言  
           
            傳統(tǒng)的圖像傳輸普遍采用由PC機(jī)主板所提供的各種接口來(lái)實(shí)現(xiàn),如PCI接口、EPP接口、IEEE 1394接口等。PCI接口的最高速率可達(dá)到132 Mb/s,但其安裝麻煩,價(jià)格昂貴,可擴(kuò)展性差,無(wú)法專門對(duì)其做電磁屏蔽,在高速傳輸方面速度也不夠理想。EPP接口無(wú)需用PC機(jī)中的其他卡,可無(wú)限制連接數(shù)目,設(shè)備安裝使用容易,但最高速率只有2 Mb/s,速度比較慢,主要用于低端性能要求不高的場(chǎng)合。IEEE 1394接口允許連接多種高性能設(shè)備,使其高性能總線互連,傳輸速率可達(dá)400 Mb/s,其中IEEE 1394 b可達(dá)3.2 Gb/s,主要使用在高速的影像或者沒有外圍連接PC的場(chǎng)合,其外圍電路復(fù)雜,且造價(jià)昂貴。與以上接口相對(duì)應(yīng)的通用串行總線(Universal Serial Bus,USB),其數(shù)據(jù)速率明顯高于一般的串口,既可支持控制、中斷、同步、塊傳輸四種數(shù)據(jù)傳輸方式,又可支持熱插拔和即插即用,且具有占用系統(tǒng)資源少,功耗低,數(shù)據(jù)傳輸可靠的優(yōu)點(diǎn)。

          USB總線技術(shù)就是想利用單一的總線技術(shù)來(lái)滿足多種應(yīng)用領(lǐng)域的需要。USB 1.1協(xié)議支持兩種傳輸速度,即低速1.5 Mb/s和全速12 Mb/s。2000年發(fā)布的USB 2.0協(xié)議,向下兼容USB 1.1協(xié)議,數(shù)據(jù)的最高傳輸速率可達(dá)到480 Mb/s,它可使USB的應(yīng)用范圍不斷擴(kuò)大。

          該系統(tǒng)基于USB 2.0總線技術(shù),將CY7C68013A芯片的Slave FIFO塊傳輸接口模式和FPGA技術(shù)相結(jié)合,實(shí)現(xiàn)了計(jì)算機(jī)與外設(shè)之間高速的圖像數(shù)據(jù)傳輸。

          1 系統(tǒng)設(shè)計(jì)  

          1.1 硬件及外設(shè)控制設(shè)計(jì)   

          實(shí)現(xiàn)USB接口單元的主要芯片是CYPRESS公司的EZ-USB FX2LP系列中的CY7C68013A(對(duì)比之前FX2系列的CY7C68013具有更低功耗、更小電流、高性價(jià)比等優(yōu)點(diǎn)),負(fù)責(zé)完成硬件系統(tǒng)與PC之間的圖像傳輸。它與外設(shè)有三種接口方式:端口模式、可編程接口GPIF和Slave FIFO。Slave FIFO方式是從機(jī)工作方式,在具有外部數(shù)據(jù)處理邏輯的設(shè)備中,USB數(shù)據(jù)在主機(jī)和外部邏輯設(shè)備中傳輸,通常不需要FX2LP的CPU參與,而是經(jīng)過(guò)FX2LP內(nèi)部端點(diǎn)FIFO來(lái)傳輸。外部控制器可對(duì)多個(gè)端點(diǎn)的FIFO選擇讀寫。FX2LP的Slave FIFO工作方式可設(shè)為同步或異步;工作時(shí)鐘均可由內(nèi)部產(chǎn)生或外部輸入?;谠撓到y(tǒng)處理的是高速圖像的傳輸,需要外部控制器直接對(duì)FIFO進(jìn)行控制,故采用從機(jī),即Slave FIFO方式。的原理框圖如圖1所示,首先圖像可由計(jì)算機(jī)上層應(yīng)用軟件發(fā)送或者接收,再通過(guò)USB接口芯片連接高速緩存。

          圖1中USB接口采用CY7C68013A芯片的Slave FIFO,接口模式,使得上層PC與緩沖器之間能夠高速通信,并利用FPGA控制USB的高速傳輸。如圖2所示,CY7C68013A的主要功能信號(hào)及與FPGA之間的握手信號(hào)如:IFCLK為時(shí)鐘信號(hào),可以選擇由外部輸入或者內(nèi)部輸出;FIFOADR[1:0]引腳選擇4個(gè)FIFO(2,4,6或8)中的一個(gè)與USB數(shù)據(jù)總線FD連接。定義該系統(tǒng)中上行數(shù)據(jù)傳輸為FIFOADR[1:0]=10,即為EP6端口;下行數(shù)據(jù)傳輸為FIFOADR[1:0]=01,即為EP2端口。FLAGB,F(xiàn)LAGC為所選擇FIFO的標(biāo)志信號(hào),F(xiàn)LAGB代表FIFO為滿;FLAGC代表FIFO為空;默認(rèn)低電平有效。FPGA可以通過(guò)不斷查詢這兩個(gè)標(biāo)志信號(hào)決定是否進(jìn)行讀或?qū)懖僮?。SLOE為讀/寫使能信號(hào);SLWR,SLRD分別為讀寫控制信號(hào),在同步和異步模式下,控制信號(hào)不一;FD[15:0]為16位的雙向數(shù)據(jù)總線。PA0,PA1為輸出信號(hào),作為硬件系統(tǒng)工作狀態(tài)的控制信號(hào)。

          1.2 軟件設(shè)計(jì)   

          圖像傳輸系統(tǒng)的軟件設(shè)計(jì)主要包括三個(gè)部分:固件程序設(shè)計(jì)、驅(qū)動(dòng)程序設(shè)計(jì)和計(jì)算機(jī)上層應(yīng)用軟件。固件程序是硬件中的軟件部分,通過(guò)執(zhí)行該軟件可實(shí)現(xiàn)特定的硬件功能,主要包括初始化、處理標(biāo)準(zhǔn)的USB設(shè)備請(qǐng)求以及USB掛起時(shí)的電源管理等。固件首先初始化內(nèi)部的狀態(tài)變量,然后調(diào)用用戶初始化函數(shù)TD_Init()。從該函數(shù)返回后,固件初始化USB接口到未配置狀態(tài)并使能中斷。然后每間隔1 s進(jìn)行一次設(shè)備重枚舉,直到端點(diǎn)0接收到一個(gè)SETUP包。一旦檢測(cè)到SETUP包,固件函數(shù)將開始交互下述任務(wù)調(diào)度:調(diào)用用戶函數(shù)TD_Poll();判斷是否有標(biāo)準(zhǔn)設(shè)備請(qǐng)求等待處理。如果有,分析該請(qǐng)求并響應(yīng);判斷USB內(nèi)核是否收到USB掛起信號(hào)。如果有,則調(diào)用用戶函TD_Suspend()。從該函數(shù)成功返回TRUE值后,在檢測(cè)是否發(fā)生USB喚醒事件。如果未檢測(cè)到,則處理器進(jìn)入掛起方式;如果有,則調(diào)用用戶函數(shù)TD_Resume(),程序繼續(xù)運(yùn)行。如果從TD_Suspend函數(shù)返回FALSE,則程序繼續(xù)進(jìn)行。TD_Init函數(shù)負(fù)責(zé)CY7C68013A進(jìn)行初始化,首先設(shè)置時(shí)鐘為48 MHz,然后設(shè)置芯片工作于從屬FIFO塊傳輸模式,并配置端點(diǎn)6工作于自動(dòng)塊傳輸IN,端點(diǎn)2自動(dòng)塊傳輸OUT模式。其主要程序段如下:

          DR_VendorCmnd函數(shù)負(fù)責(zé)處理上位機(jī)發(fā)出的用戶自定義請(qǐng)求,通過(guò)控制PA0,PA1的高低電平,以控制整個(gè)硬件系統(tǒng)的運(yùn)行。該系統(tǒng)中,使用0xB3使PA0置低進(jìn)行圖像數(shù)據(jù)的上行操作,用0xB4使PA0置高進(jìn)行圖像數(shù)據(jù)的下行操作,使用0xB5使PA1置低來(lái)通知硬件開始傳輸,使用0xB6請(qǐng)求使PA1置高以通知硬件系統(tǒng)停止傳輸。

          USB設(shè)備驅(qū)動(dòng)程序負(fù)責(zé)建立起主機(jī)端和設(shè)備端的聯(lián)系。驅(qū)動(dòng)程序主要有兩個(gè):一是開機(jī)自動(dòng)將固件程序下載至芯片RAM中,以由增強(qiáng)性8051執(zhí)行。結(jié)合CYPRESS開發(fā)包EZ-Loader Drivers以及HEX2C和Windows DDK即可生成所需要固件自動(dòng)下載程序.sys文件。二是完成上位機(jī)應(yīng)用程序和硬件設(shè)備之間的數(shù)據(jù)傳輸。其主要包括驅(qū)動(dòng)程序入口例程、即插即用例程、分發(fā)例程、電源管理例程和卸載例程。本系統(tǒng)根據(jù)通用驅(qū)動(dòng)結(jié)合自身需要,在DDK環(huán)境下修改編譯,生成自己需要的驅(qū)動(dòng)程序。USB上層應(yīng)用程序都通過(guò)I/O控制來(lái)訪問設(shè)備驅(qū)動(dòng)程序。上層應(yīng)用程序首先通過(guò)調(diào)用Win32函數(shù)CreaFile()來(lái)取得訪問設(shè)備驅(qū)動(dòng)程序的句柄;然后應(yīng)用程序使用Win32函數(shù)Devi-ceIoControl()來(lái)提交I/O控制碼,并且為CreatFile()函數(shù)返回的設(shè)備句柄設(shè)置I/O緩沖區(qū)。該系統(tǒng)中,設(shè)置USB端口緩沖區(qū)FIFO為1 024 B,端口非空即讀取。保持了傳輸?shù)倪B續(xù)性,并且每次以幀結(jié)構(gòu)包形式傳輸,每包的大小為512 B。以實(shí)驗(yàn)中為例。每傳輸大小為245 KB的一幅圖像,需要490個(gè)包進(jìn)行傳輸。

          接收端應(yīng)用程序流程圖如圖3所示。發(fā)送端應(yīng)用程序流程類似,少了判斷圖像是否完整一幅,而多了傳輸完畢之后的圖像數(shù)據(jù)校驗(yàn)。

          2 系統(tǒng)仿真及實(shí)現(xiàn)   

          圖4所示為圖像發(fā)送系統(tǒng)主要端口的ChipScope實(shí)測(cè)波形,所用FPGA為V4-XC4VSX55。

          USB_Data為傳輸?shù)膱D像數(shù)據(jù)。數(shù)據(jù)長(zhǎng)度為16位,SLRD為異步讀取FD總線的時(shí)鐘,采用USB時(shí)鐘源48 MHz四分頻得到,F(xiàn)IFO指針在每次SLRD激活到撤消激活變化時(shí)累加,即每變化一次,讀取FIFO里16 b緩存數(shù)據(jù)一次。SLOE引腳為讀寫使能信號(hào),默認(rèn)低電平有效。從該實(shí)測(cè)圖可以看出,三路信號(hào)均符合異步讀取的時(shí)序要求。

          主機(jī)接收端應(yīng)用程序采用Delphi語(yǔ)言編寫,圖像傳輸它采取傳輸?shù)耐瑫r(shí)顯示圖像的形式。從上位機(jī)應(yīng)用程序截取一幅接收?qǐng)D像如圖5所示。該應(yīng)用程序主要用于各種調(diào)制方式下圖像的傳輸??梢钥吹絺鬏攬D像清晰完整,連續(xù)顯示沒有滯后,沒有噪聲斑點(diǎn)。圖像經(jīng)過(guò)USB接口傳輸后沒有數(shù)據(jù)丟失,比較清晰。實(shí)驗(yàn)證明,圖像傳輸過(guò)程中,沒有出現(xiàn)丟幀的情況。滿足實(shí)時(shí)高速的圖像傳輸。

          3 結(jié) 語(yǔ)   

          USB 2.0技術(shù)以其高速傳輸和使用方便受到廣泛的關(guān)注,其應(yīng)用也必將越來(lái)越廣泛。上述設(shè)計(jì)方案有效地解決了圖像傳輸過(guò)程中的高速通信問題。系統(tǒng)中采用異步方式傳輸,采用芯片所提供四分頻時(shí)鐘,最高速率可達(dá)到192 Mb/s。采用FPGA和USB 2.0相結(jié)合的方式,數(shù)據(jù)處理能力得到極大的提高,由于采用CY7C68013A的Slave FIFO模式,可使用外部FPGA并按照用戶需求設(shè)計(jì),增強(qiáng)使用功能的多樣性和靈活性。該方案亦可應(yīng)用于大容量、高速度的實(shí)時(shí)數(shù)據(jù)采集,音頻及視頻傳輸?shù)阮I(lǐng)域。

          電磁爐相關(guān)文章:電磁爐原理




          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();