<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 嵌入式系統(tǒng)中的JTAG接口編程技術(shù)

          嵌入式系統(tǒng)中的JTAG接口編程技術(shù)

          作者: 時(shí)間:2006-05-07 來源:網(wǎng)絡(luò) 收藏

          摘要:分析發(fā)系統(tǒng)開發(fā)中常用的三種芯片編程方法,介紹了JTAG接口的標(biāo)準(zhǔn),工作原理及在芯片中的實(shí)現(xiàn),根據(jù)JTAG接口所提供的邊界掃描功能 ,通過PC機(jī)并行接口模擬JTAG接口的時(shí)序,實(shí)現(xiàn)了使用目標(biāo)系統(tǒng)中微處理器的JTAG接口對(duì)系統(tǒng)程序存儲(chǔ)器FLASH的編程。

          本文引用地址:http://www.ex-cimer.com/article/241592.htm

          關(guān)鍵詞:系統(tǒng)JTAG(Joint test action Group)聯(lián)合測(cè)試行為組織 編程器StrongARM手持設(shè)備

          隨著手持式電子設(shè)備的迅猛發(fā)展,手機(jī),PDA、掌上電腦,電子書和數(shù)碼相機(jī)等正在快步走進(jìn)人們的日常生活,這一類電子產(chǎn)品同屬于系統(tǒng)的范疇,都是以高性能的微機(jī)處理器為核心擴(kuò)展相應(yīng)的應(yīng)用程序,實(shí)現(xiàn)電子設(shè)備的各種功能。由于是手持設(shè)備,因而要求體積小、重量輕,耗電少,這些特點(diǎn)決定了設(shè)備內(nèi)部的印刷板的尺寸比常規(guī)的電子設(shè)備小的多,而且元器件密度大,雙面貼裝。這給設(shè)計(jì)人員帶來了若干問題,如操作系統(tǒng)代碼和應(yīng)用程序的寫入,板上芯片的測(cè)試等。本文作者結(jié)合實(shí)際工作,就嵌入式系統(tǒng)中如何通過JTAG接口進(jìn)行FLASH芯片編程作了探討。

          1 幾種常用字芯片編程方法

          在嵌入式系統(tǒng)開發(fā)和產(chǎn)品生產(chǎn)過程中,對(duì)系統(tǒng)程序存儲(chǔ)器編程主要使用三種編程方法,通過編程器編程、使用板上編程器編程和在系統(tǒng)編程。

          1.1 通過編程器編程

          這是在PROM、EPROM、PQL等芯片游行時(shí)常用的編程方法。即在可編程芯片焊裝到電路板之前,使用專門的編程器對(duì)芯片進(jìn)行代碼或數(shù)據(jù)的寫入,然后將已編程的芯片安裝到電路板上。

          使用編程器編程特別適用于DIP封裝的芯片。如是是其它類型的封裝,則必須使用相應(yīng)的適配器。這種方法的缺點(diǎn)滴是零要手工進(jìn)行待編程芯片的插入,鎖定等工作,容易造成芯片的方向錯(cuò)誤、引腳錯(cuò)位等,導(dǎo)致編程效率降低。

          1.2 使用板上編程器編程 (OBP)

          這種方法是在電路板上所有的芯片都已經(jīng)焊接完畢后,再對(duì)電路板上的可編程芯片進(jìn)行編程。通過專用電纜將電路板與外部計(jì)算機(jī)連接,由于計(jì)算機(jī)的應(yīng)用程序進(jìn)行板上可編程芯片的代碼或數(shù)據(jù)寫入。芯片擦除編程所需用的電源、控制信號(hào)、地址、數(shù)據(jù)和相關(guān)的命令都由板外的編程控制器提供,在進(jìn)行板上編程時(shí),需要通過專門的輔助電路關(guān)斷目標(biāo)板上CPU的電源或?qū)⑵渫獠拷涌谛盘?hào)設(shè)置為高阻狀態(tài),以免與編程時(shí)的地址、數(shù)據(jù)和控制信號(hào)發(fā)生沖突。

          在板上編程可以克服芯片引腳錯(cuò)位,方向插反等問題,避免燒毀芯片,編程錯(cuò)誤,保證了芯片編程的高成功率和可靠性。另一個(gè)優(yōu)點(diǎn)就是及時(shí)軟件升級(jí),可以做到在產(chǎn)品出廠之時(shí)系統(tǒng)使用最新版本的固化軟件。這對(duì)于日新月異的手持電子設(shè)備而言是必須的。

          這種方法的缺點(diǎn)是需要在電路板上設(shè)計(jì)編程用的接口、隔離等輔助電路,在編程時(shí)通過跳線或FET開關(guān)時(shí)進(jìn)編程與正常工作的狀態(tài)轉(zhuǎn)換。這樣會(huì)增加每個(gè)電路板芯片的數(shù)量,造成產(chǎn)品成本的增加。

          1.3 在系統(tǒng)編程(ISP、ISW)

          這種方法直接利用系統(tǒng)中帶有JTAG接口的器件,如CPU、CPLD、FPGA等,執(zhí)行對(duì)系統(tǒng)程序存儲(chǔ)芯片的內(nèi)容和擦除和編程操作。一般而言,高檔的微處理器都帶有JTAG接口,系統(tǒng)程存儲(chǔ)器的數(shù)據(jù)總線,地址總線和控制接口直接接在微處理器上。編程時(shí),使用PC機(jī)內(nèi)插卡或并行的接口通過專用電纜將系統(tǒng)電路板與PC機(jī)聯(lián)系起來,在PC機(jī)上運(yùn)行相關(guān)的程序,將編程數(shù)據(jù)及控制信號(hào)傳送到JTAG接口的芯片上,利用相應(yīng)的指令從微處理器的引腳按照FLASH芯片的編程時(shí)序輸出到FLASH存儲(chǔ)器。

          這種編程方法的條件是系統(tǒng)中必須存在帶有JTAG接口或與之兼容的芯片如微處理器。優(yōu)點(diǎn)是系統(tǒng)板上不需要增加其它于編程有關(guān)的輔助電路。減小了電路板的尺寸,避免了對(duì)微小封裝芯片的手工處理,特別適用于電路板尺寸有嚴(yán)格限制的手持設(shè)備。

          2 JGAG接口介紹

          面對(duì)復(fù)雜電路的設(shè)計(jì)、整版測(cè)試的難度及表面的貼裝技術(shù)帶來的有限測(cè)試引腳下等問題,業(yè)界不得不尋找一個(gè)標(biāo)準(zhǔn)加以解決。JTAG邊界掃描即IEEE1149。1標(biāo)準(zhǔn),該測(cè)試標(biāo)準(zhǔn)定義了用于解決上述問題的硬件結(jié)構(gòu)和工作機(jī)制。其優(yōu)點(diǎn)在于將極其復(fù)雜的電路板測(cè)試轉(zhuǎn)彎成具有良好的結(jié)構(gòu)性、可以通過軟件簡(jiǎn)單而靈活處理。它雖然是一個(gè)主要用于片上電路的測(cè)試標(biāo)準(zhǔn),但卻打開了各種相關(guān)應(yīng)用的大門。這個(gè)標(biāo)準(zhǔn)定義了可用于完成功能和互連測(cè)試以及內(nèi)建自測(cè)過程的各種指令。芯片生產(chǎn)廠商如ALTERA、XILINX、ATMEL、AMD、TI等對(duì)標(biāo)準(zhǔn)進(jìn)行了擴(kuò)充,使用專用的擴(kuò)展指令執(zhí)行維護(hù)和診斷應(yīng)用及對(duì)可配置器件的可編程算法,使JTAG接口廣泛用于FLASH系列芯片的編程。概括起來,JTAG接口主要應(yīng)用于:電路的邊界掃描測(cè)試和可編程芯片的在系統(tǒng)編程。

          2.1 JTAG的結(jié)構(gòu)

          在硬件結(jié)構(gòu)上,JTAG的接口包括兩個(gè)部分,JTAG端口和控制器。與JTAG接口兼容的器件可以是微處理器(MPU)微控制器(MCU)PLD CPL FPGA ASIC或其它符合IEEE1149。1規(guī)范的芯片。IEEE1149。1標(biāo)準(zhǔn)中規(guī)定對(duì)應(yīng)數(shù)字集成電路的每個(gè)引腳都設(shè)有一個(gè)移動(dòng)存寄單元。稱為邊界掃描單元BSC。它將JTAG電路與內(nèi)核邏輯電路聯(lián)系起來,同時(shí)隔離內(nèi)核電路和芯片引腳。由集成電路的所有邊界掃描構(gòu)成單元掃描寄存器BSR。邊界掃描寄存器僅在進(jìn)行JTAG測(cè)試時(shí)有效,在集成電路工作正常無效,不影響集成電路的功能 。具有JTAG接口的芯片內(nèi)部結(jié)構(gòu)如圖1所示:

          測(cè)試邏輯的最高電路包括3個(gè)主要內(nèi)容

          ·測(cè)試訪問端口(TAP)控制器

          TAP控制器提供在嵌入在JTAG兼容器件內(nèi)部的測(cè)試功能電路的訪問控制,是一個(gè)同步狀態(tài)機(jī)。每個(gè)JTAG兼容的器件都有自己的TAP控制器。通過測(cè)試模式選擇TMS和時(shí)鐘信號(hào)TCK控制其狀態(tài)轉(zhuǎn)移,實(shí)現(xiàn)由IEEE149。1標(biāo)準(zhǔn)確定的測(cè)試邏輯電路的工作時(shí)序。

          ·指令寄存器

          指令寄存器是基于電路的移動(dòng)寄存器,通過它可以串行輸入執(zhí)行各種操作的指令。

          ·數(shù)據(jù)寄存組。

          數(shù)據(jù)寄存器組是一組基于電路的移位寄存器。操作指令被串行裝入由當(dāng)前的指令所選擇的數(shù)據(jù)寄存器。隨著操作的執(zhí)行,測(cè)試結(jié)果被移出。

          2.2 JTAG引腳定義

          JTAG接口主要包括四個(gè)引腳下:TMS TCK TDI 和TCO及一個(gè)可選配的引腳TRST,用于驅(qū)動(dòng)電路模塊和控制執(zhí)行規(guī)定的操作。各引腳的功能如下:

          ·TCK:JTAG測(cè)試時(shí)鐘,為TAP控制器和寄存器提供測(cè)試參考。在TCK的同步作用下通過TDI和TDO引腳下串行移入或移出數(shù)據(jù)及指令。同時(shí),TCK為TAP控制器狀態(tài)機(jī)提供時(shí)鐘。

          TMS:TAP控制器的三項(xiàng)式輸入信號(hào)。TCK的上升沿時(shí)刻TMS的狀態(tài)確定TAP控制器即將進(jìn)入的工作狀態(tài)。通常TMS引腳具有內(nèi)部上拉電阻 ,以保證該引腳在沒有驅(qū)動(dòng)時(shí)處于邏輯1狀態(tài)。

          TDI:JTAG指令和數(shù)據(jù)寄存器的串行數(shù)據(jù)輸入端。TAP控制器的當(dāng)前狀態(tài)以及保持在指令寄存器中的具體指令決定對(duì)于一個(gè)特定的操作由TDI裝入哪個(gè)寄存器。在TCK的上升沿時(shí)刻,TDI引腳狀態(tài)被除數(shù)采樣,結(jié)果送到JTAG寄存器組。

          TDO:JTAG指令和數(shù)據(jù)寄存器的串行輸出端。TAP控制器的當(dāng)前狀態(tài)以及保持在指令寄存器中的具體指令決定對(duì)于一個(gè)特定的操作哪個(gè)寄存器的內(nèi)容送到TDO輸出。對(duì)于任何已知的操作,在TDI和TDO之間只能有一個(gè)寄存器(指令或數(shù)據(jù))處于有效連接狀態(tài)。TDO在TCKR的下降沿改變狀態(tài),并且只在數(shù)據(jù)通過器件移動(dòng)過程中有效。該引腳在其它的時(shí)間處于三狀態(tài)下。

          TRST:測(cè)試復(fù)位輸入信號(hào),低電平有效,為TAP控制器提供異步初始化信號(hào)。

          2.3 JTAG測(cè)試訪問端口(TAP)控制器

          TAP控制器是一個(gè)16狀態(tài)的有限狀態(tài)機(jī),為JTAG提供控制邏輯,控制進(jìn)入到JTAG結(jié)構(gòu)中各種寄存器內(nèi)數(shù)據(jù)通信的掃描與操作。TAP狀態(tài)轉(zhuǎn)移圖如圖2所示,由TCK同步時(shí)鐘上升沿時(shí)刻TMS引腳的邏輯電平?jīng)Q定狀態(tài)轉(zhuǎn)移的過程,(高電平TMS=1,低電平TMS=0)。對(duì)于由TDI端輸入到器件的掃描信號(hào)共有兩個(gè)狀態(tài)變化路徑:一個(gè)用于移入指令到指令寄存器;另一個(gè)用于移入數(shù)據(jù)到有效的數(shù)據(jù)寄存器,該寄存器由當(dāng)前指令確定。

          狀態(tài)圖中的每個(gè)狀態(tài)都是通過學(xué)習(xí)TAP控制器進(jìn)行數(shù)據(jù)處理所需要的。這些處理包括給引腳施加激勵(lì)信號(hào),捕獲輸入的數(shù)據(jù),裝載指令,邊界掃描寄存器中數(shù)(此地有圖)

          據(jù)的移入或移出。圖2表示了TAP狀態(tài)機(jī)的基本流程,描述了從一個(gè)狀態(tài)到另一個(gè)狀態(tài)TMS信號(hào)的變化,在芯片JTAG接口的TRST引腳上加一個(gè)低脈沖信號(hào)可以使TAP控制器復(fù)位到測(cè)試邏輯復(fù)位(Test-Logic-Reset)主狀態(tài)。

          2.4 JTAG接口控制指令

          控制指令用于控制JTAG接口進(jìn)行各種操作,控制指令包括基本指令和擴(kuò)展指令。JTAG接口標(biāo)準(zhǔn)要求芯片支持的基本指令有:EXTEST INTEST SAMPLE/PRELOAD BYPASS IDCODE HIGHZ。芯片廠商可以根據(jù)實(shí)際需要選擇或添加擴(kuò)展指令。

          3 JTAG接口的使用

          通過JTAG接口可以進(jìn)行電路板及芯片的測(cè)試,也可以實(shí)現(xiàn)對(duì)目擊者標(biāo)電路板上的程序存儲(chǔ)器編程。本文僅僅討論使用JTAG接口對(duì)板上FLASH存儲(chǔ)器的編程。一般,可以利用專用的PC機(jī)內(nèi)插卡式硬件控制器或獨(dú)立的編程器訪問JTAG器件。也可以直接由PC機(jī)的并行接口模擬JTAG時(shí)序,硬件控制器或編程器通過專用電纜連接到目標(biāo)電路板上,被編程的FLASH存儲(chǔ)器芯片的地址線,數(shù)據(jù)線和控制信號(hào)線接到JTAG兼容芯片的相應(yīng)引腳上。值得注意的是采用這種編程方法,不要求FLASH器件具有JTAG接口,只要與其相連接的芯片具有JTAG接口即可。在編程FLASH芯片時(shí),需要做的工作主要有:①PC機(jī)發(fā)送指令或數(shù)據(jù)到JTAG兼容芯片的邊界掃描寄存器(BSR);②將保存在BSR中的指令或數(shù)據(jù)通過JTAG存儲(chǔ)器。這個(gè)過程是由運(yùn)行在PC機(jī)上的軟件進(jìn)行控制的。

          3.1 硬件配置

          在某個(gè)設(shè)計(jì)項(xiàng)目中,使用了intel公司的StrongARM芯片SA-100和該公司的Strata系列FLASH存儲(chǔ)器芯片/SA-100芯片是種高性能、低功耗、集成有多種常用接口的SOC微處理器芯片 。特別嚴(yán)重適合于持設(shè)備。而strata FLASH是intel公司使用獨(dú)創(chuàng)的1 個(gè)存儲(chǔ)單元記錄2比特?cái)?shù)據(jù)技術(shù)制造的閃速存儲(chǔ)芯片 ,其特點(diǎn)是體積小,容量大,成本低,特別適合于程序代碼與數(shù)據(jù)的存儲(chǔ)。選擇的型號(hào)為:E28F128J3A,可以配置成8位或16位數(shù)據(jù)線方式,SA-1110為32位的芯片,其外擴(kuò)的程序存儲(chǔ)器和數(shù)據(jù)存儲(chǔ)器也為32位,因此程序存儲(chǔ)器需要2片28F128J3A配置為32位形式,如圖3所示。

          在本例中,JTAG菊花鏈中包含兩個(gè)IEEE1149。1兼容芯片。即SA-1110微處理器和CPLD。由于FLASH的地址總線,數(shù)據(jù)總線和控制信號(hào)線接在SA-1110上,在利用JTAG接口編程FLASH存儲(chǔ)器時(shí),與JTAG鏈上的CPLD芯片無關(guān),需要通過指令將CPLD芯片設(shè)為旁通模式,F(xiàn)LASH芯片的控制信號(hào)系統(tǒng)如讀信號(hào)(OE)寫信號(hào)(WR)和片選信號(hào)(CE)等直接由SA-1110產(chǎn)生。

          從圖3中可以看出,使用PC機(jī)并行接口的幾個(gè)數(shù)據(jù)線入信號(hào)線來構(gòu)成JTAG接口引腳信號(hào),對(duì)應(yīng)關(guān)系如表1所示,采用信號(hào)線直接連接的方法簡(jiǎn)便易行,只需要一條專用的電纜即可操作JTAG接口。

          表1 PC機(jī)并行接口與JTAG接口信號(hào)對(duì)應(yīng)表

          PC機(jī)并行接口 對(duì)應(yīng)JTAG接口
          引 腳 功 能 引 腳 功 能
          2 D0 TCK 時(shí)鐘
          3 D1 TDI 數(shù)據(jù)輸入
          4 D2 TMS 模式選擇
          11 Busy TDO 數(shù)據(jù)輸出

          3.2 控制軟件

          SA-1110芯片的JTAG接口實(shí)現(xiàn)了IEEE1149。1標(biāo)準(zhǔn)的部分功能,不能實(shí)現(xiàn)對(duì)芯片內(nèi)部的測(cè)試及芯片仿真,但提供對(duì)芯片外的測(cè)試功能,可以用于對(duì)芯片外(此處有圖)

          部電路的測(cè)試及編程。芯片提供的JTAG指令包括:BYPASS(11111)旁通片上系統(tǒng)邏輯指令,用于未被測(cè)試的芯片:

          EXTEST(00000)片外電路測(cè)試指令,用于測(cè)試電路板芯片之間的互連;

          SAMPLE/PRELOAD(OOOO1)采樣引腳/預(yù)加載數(shù)據(jù)指令,用于采樣芯片引腳信號(hào)或通過加載數(shù)據(jù) 控制引腳輸出信號(hào);

          IDCODE(00110)讀芯片識(shí)別碼指令,用于識(shí)別電路板上的芯片;

          HIGHZ(00101)設(shè)置高阻狀態(tài)指令,用于將芯片的引腳設(shè)為無效狀態(tài)。

          括號(hào)中的內(nèi)容是指令的操作碼,它們通過TDI引腳串行移入到指令寄存器。BYPASS和EXTEST指令的操作碼是IEEE1149.1中規(guī)定的,因此對(duì)于所有的JTAG接口兼容芯片,這兩個(gè)指令的操作碼都是相同的,其它指令的操作碼可以由芯片廠商根據(jù)實(shí)際定義。

          結(jié)合待編程的FLASH存儲(chǔ)器特征,利用上面提供的JTAG指令編寫一個(gè)編程FLASH存儲(chǔ)器的PC機(jī)應(yīng)用程序,借助SA-1110芯片的JTAG接口將目標(biāo)系統(tǒng)使用的操作系統(tǒng)和應(yīng)用軟件寫入到FLASH存儲(chǔ)器中。對(duì)目標(biāo)板上的FLASH存儲(chǔ)器進(jìn)行編程時(shí),在PC機(jī)上運(yùn)行該程序來控制并行接口模擬JTAG時(shí)序并將編程代碼運(yùn)送到SA-1110的JTAG控制器,利用JTAG的邊界掃描單元(BSC),把編程數(shù)據(jù)先移入到邊界掃描寄存器(BSR),然后通過JTAG指令EXTEST按照FLASH芯片的編程時(shí)序?qū)?shù)據(jù) 通過地址總線和數(shù)據(jù)總線寫入FLASH存儲(chǔ)器,實(shí)現(xiàn)芯片編程操作,在FLASH內(nèi)容的寫入過程中,程序?qū)?片F(xiàn)LASH同時(shí)執(zhí)行寫操作,完成32位編程,在PC機(jī)上運(yùn)行的編程操作程序框圖如圖4所示,其中利用PC機(jī)并行接口實(shí)現(xiàn)JTAG接口信號(hào)的數(shù)為:

          int putp(int tdi,int tms,int rp)

          { //Qutput pins (LPT driving),LPT D0 Pin 2 and TCK,

          //LPT D1 Pin 3 and TDI,LPT D2 Pin 4 and TMS

          //Input pin (SA-1110 board drives),LPT Busy Pin 11 and TDO

          int tdo = -1;

          _outp(lpt_address,tms*+tdi*2); //TCK low

          -outp(lpt_address,tms*4+tdi*2+1); //TCK high

          if(rp=RP)-outp(lpt_address,tms*4+tdi*2);//TCK low

          if(rp=RP)tdo=!((int)_inp(lpt_address+1)>>7); //get TDO data

          return tdo;

          }

          通過PC機(jī)并行接口實(shí)現(xiàn)SA-1110的JTAG指令EXTEST的函數(shù)為:

          void extest(void)

          { putp(1,0,IP);//Run-Test/Idle

          putp(1,0,IP);//Run-Test/Idle

          putp(1,0,IP);//Run-Test/Idle

          putp(1,0,IP);//Run-Test/Idle

          Putp(1,1,IP);//select DR scan

          putp(1,0,IP);//capture IR

          putp(1,0,IP);//shift IR

           

          putp(0,0,IP);//SA1110 Extest,指令長(zhǎng)度為5位

          putp(0,0,IP);

          putp(0,0,IP);

          putp(0,0,IP);

          putp(0,0,IP);

           

          putp(1,0,IP);//CPLD Bypass,指令長(zhǎng)度為4位

          putp(1,0,IP);

          putp(1,0,IP);

          putp(1,1,IP);//Exit-IR,操作碼的最后一位必須通過時(shí)鐘與下一狀態(tài)EXIT1_IR

          有效處于同一時(shí)刻,由時(shí)鐘控制TMS保持高電平時(shí)入EXIT1_IR狀態(tài)。

          putp(1,1,IP);//Update-IR

          putp(1,0,IP);//Run-Test/Idle

          putp(1,0,IP);//Run-Test/Idle

          putp(1,0,IP);//Run-Test/Idle

          }

          程序開始有條語句:putp(1,0,IP)。其意義在于無論JTAG狀態(tài)機(jī)于何種狀態(tài),經(jīng)過這四條指令后,必將返回到Run-Test/Idle狀態(tài),保證下面的操作從這一狀態(tài)開始進(jìn)入正常的操作狀態(tài)。

          編程FLASH存儲(chǔ)器使用的其它指令的實(shí)現(xiàn)方法與此似。實(shí)際表明,使用PC機(jī)并接口實(shí)現(xiàn)JTAG時(shí)序方法是行的,對(duì)FLASH存儲(chǔ)器進(jìn)行編程無需其它板卡設(shè)備支持,是一種較為簡(jiǎn)單的方法。

          使用JTAG接口對(duì)FLASH程序存儲(chǔ)進(jìn)行編程的方法適合于系統(tǒng)中帶有兼容JTAG接口的芯片。隨著具有JTAG接口芯生應(yīng)用普及,需要對(duì)JTAG接深入的了解,以便更好地利用芯片的資源,設(shè)計(jì)出容易測(cè)試、便于維護(hù)與升級(jí)的高可靠性,延長(zhǎng)產(chǎn)品的生命周期。同時(shí),根據(jù)FALSH芯片及JTAG接口芯片的規(guī)范對(duì)使用JTAG接口進(jìn)行編程的控制程序的優(yōu)化,可以實(shí)現(xiàn)存儲(chǔ)芯片的高速編程操作,對(duì)減少產(chǎn)品的研發(fā)和生產(chǎn)周期,保證產(chǎn)品的上市時(shí)間非常有利。

          linux操作系統(tǒng)文章專題:linux操作系統(tǒng)詳解(linux不再難懂)


          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();