<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 基于CORDIC改進(jìn)算法的DDS設(shè)計(jì)

          基于CORDIC改進(jìn)算法的DDS設(shè)計(jì)

          作者: 時(shí)間:2011-04-01 來源:網(wǎng)絡(luò) 收藏

          摘 要: 采用算法實(shí)時(shí)計(jì)算正弦值的方法,替代傳統(tǒng)中的正弦查找表,顯著節(jié)省了硬件資源,極大提高了轉(zhuǎn)換速度以及的頻率和相位分辨率。將基于改進(jìn)的并行流水結(jié)構(gòu)的算法作為IP應(yīng)用于高端芯片中,同時(shí)利用Matlab的M語言進(jìn)行仿真與調(diào)試,可以直觀地看到該改進(jìn)算法的輸出波形。
          關(guān)鍵詞: 直接數(shù)字頻率合成;算法;Matlab

          傳統(tǒng)DDS是由美國學(xué)者Tierney 等提出,采用查找表結(jié)構(gòu)實(shí)現(xiàn),很難滿足數(shù)字信號處理領(lǐng)域中高精度、高分辨率、實(shí)時(shí)運(yùn)算的要求。而采用基于CORDIC算法的DDS結(jié)構(gòu)可以很容易地滿足這些要求,且易于采用流水線技術(shù),因此這一技術(shù)越來越多的用于雷達(dá)等電子系統(tǒng)中[1]。本文提出了一種基于CORDIC算法的16位流水線并行結(jié)構(gòu)的DDS,取代傳統(tǒng)ROM查找表,實(shí)現(xiàn)了高速度和資源占用率低的要求。利用Matlab工具進(jìn)行仿真分析,不僅能直觀地看出輸出波形,而且加快了設(shè)計(jì)進(jìn)程。
          1 DDS的基本結(jié)構(gòu)
          DDS的基本結(jié)構(gòu)如圖1所示,主要包括:參考頻率源、相位累加器、ROM正弦查找表、D/A轉(zhuǎn)換器及低通濾波器。頻率控制字K決定了相位累加器的步進(jìn)大小。每一個時(shí)鐘周期,相位寄存器中的數(shù)值就累加一個步長K,與初始相位相加后送入正弦查找表,正弦查找表一般是一個可編程存儲器,存有相應(yīng)的正弦波數(shù)據(jù)。存儲器將輸入的相位信息轉(zhuǎn)換為地址信息,再將地址映射為正弦幅度值,經(jīng)過D/A轉(zhuǎn)換器生成階梯波形,然后經(jīng)過低通濾波器得到頻率的連續(xù)波形。


          這種結(jié)構(gòu)的缺點(diǎn)是ROM的容量與相位碼的位數(shù)成指數(shù)關(guān)系,而大容量的ROM意味著系統(tǒng)高功耗、高成本、低穩(wěn)定性。因此人們尋求直接計(jì)算正余弦值來代替ROM查找表。相位幅度轉(zhuǎn)換主要有查表法、多項(xiàng)式展開法以及CORDIC算法[2]。CORDIC算法在硬件實(shí)現(xiàn)上只需移位和加減運(yùn)算即可得到正余弦值,容易在VLSI芯片上實(shí)現(xiàn)。
          2 CORDIC算法的原理及改進(jìn)
            CORDIC(The Co-ordinate Rotation Digital Computer)算法由J.Volder[3]1959年在美國航空控制系統(tǒng)設(shè)計(jì)中提出,是一種用于計(jì)算運(yùn)算函數(shù)的循環(huán)迭代算法。CORDIC算法的基本概念是將目標(biāo)旋轉(zhuǎn)角分解為一組預(yù)定單元旋轉(zhuǎn)角的加權(quán)和,用這組預(yù)先規(guī)定的基本角度的線性組合逼近。該算法的巧妙之處在于基本角的選取恰好使每次矢量以基本角度旋轉(zhuǎn)后,新矢量坐標(biāo)值的計(jì)算僅需要簡單的移位和加減法就能完成[4]。

          其中p稱為CORDIC算法的旋轉(zhuǎn)增益。實(shí)際的算法中不可能做無窮迭代,因此實(shí)際的增益與迭代次數(shù)有關(guān),當(dāng)?shù)螖?shù)逐漸增大時(shí),p就不斷逼近1.647。由于n不可能無窮大,因此會引入誤差。在迭代的過程中可以忽略cosθn項(xiàng),迭代的最后再將其乘入。這樣就得到:

          從公式(7)而來的是CORDIC算法的迭代結(jié)構(gòu),在傳統(tǒng)的迭代結(jié)構(gòu)中隨著迭代次數(shù)的增加,其查找表的地址也隨之增加,查找表中存放旋轉(zhuǎn)的角度集。必須有一個狀態(tài)機(jī)來跟蹤迭代過程,這將導(dǎo)致運(yùn)行速度降低,不適合高速高精度的要求[6]。
          采用流水線結(jié)構(gòu),能夠在執(zhí)行進(jìn)程的同時(shí)輸入數(shù)據(jù),從而極大提高程序的運(yùn)行效率。迭代性流水線結(jié)構(gòu)如圖2所示。


          3 Matlab軟件實(shí)現(xiàn)CORDIC算法仿真
          Matlab是矩陣實(shí)驗(yàn)室(Matrix Laboratory)的簡稱,是美國MathWorks公司出品的商業(yè)數(shù)學(xué)軟件,用于算法開發(fā)、數(shù)據(jù)可視化、數(shù)據(jù)分析以及數(shù)值計(jì)算的高級技術(shù)計(jì)算語言和交互式環(huán)境,主要包括Matlab和Simulink兩大部分。Matlab的應(yīng)用范圍非常廣,包括信號和圖像處理、通信、控制系統(tǒng)設(shè)計(jì)、測試和測量、財(cái)務(wù)建模和分析以及計(jì)算生物學(xué)等眾多應(yīng)用領(lǐng)域。本文利用Matlab的M語言進(jìn)行CORDIC算法的仿真,其優(yōu)勢在于仿真結(jié)果易于觀察,充分利用可以有效的加快設(shè)計(jì)進(jìn)程。
          本程序遵照上述CORDIC算法編寫, 采用文件的方式編寫,下面是部分源程序。仿真輸出波形如圖3所示。

          function[x,y]=get_sincos(Angle,precision)%Initial Angle and
          precision
          InitialAngle=Angle*pi/180;%Coneversion to radian
          K=1.0;
          for i=1:precision
          cordic_table{i}.k=K;
          cordic_table{i}.phase_rads=atan(K);
          K=0.5*K;
          end;
          x=0.60725293510314;y=0;%Initial Value when computing
          sin/cos
          acc_phase_rads=InitialAngle;

          for i=-90:1:90
          [x,y]=get_sincos_fxp(i,22);
          xx(j)=x;
          yy(j)=y;
          j=j+1;
          end;
          figure(1)
          plot(xx,′r′)
          hold on
          plot(yy,′b′)
          hold off
          在傳統(tǒng)DDS設(shè)計(jì)的基礎(chǔ)上,用CORDIC算法取代傳統(tǒng)的查找表,提升了轉(zhuǎn)換速度和精度,同時(shí)基于改進(jìn)的并行流水線型的CORDIC,進(jìn)一步提升了轉(zhuǎn)換速度,在大部分轉(zhuǎn)換角度固定的情況下,對初始值進(jìn)行優(yōu)化可以節(jié)省1/3的硬件資源。該設(shè)計(jì)已經(jīng)作為IP應(yīng)用于高端DDS芯片中。



          關(guān)鍵詞: CORDIC DDS

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();