<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 一種基于DSP的新型單相PWM算法研究

          一種基于DSP的新型單相PWM算法研究

          作者: 時(shí)間:2009-08-31 來(lái)源:網(wǎng)絡(luò) 收藏

            脈寬調(diào)制(Pulse-Width Modulation,PWM)技術(shù)在電力電子領(lǐng)域的應(yīng)用極其廣泛。PWM模式是決定逆變器輸出電壓特性的根本。性能優(yōu)越的PWM模式可以使逆變器具有良好的輸出特性。由傅里葉分析可知,不對(duì)稱波形會(huì)帶來(lái)大量低次諧波、偶次諧波以及余弦項(xiàng)。因此PWM脈沖波形的對(duì)稱性對(duì)輸出特性有很大影響。

            PWM的實(shí)現(xiàn)方法一般有兩種:比較法和計(jì)算法。隨著數(shù)字技術(shù)的迅速發(fā)展和計(jì)算機(jī)功能的提高,計(jì)算法以其方便靈活的特點(diǎn)成為PWM實(shí)現(xiàn)方法的主流。采用計(jì)算法實(shí)現(xiàn)PWM時(shí),按照每個(gè)載波周期內(nèi)調(diào)制波的取法,可以分為規(guī)則采樣PWM和自然采樣PWM。其中,采用規(guī)則采樣法,計(jì)算簡(jiǎn)單,占用系統(tǒng)軟件資源較少,因而應(yīng)用比較廣泛;但是由規(guī)則采樣法計(jì)算出的PWM波形,在系統(tǒng)載波頻率較低時(shí),輸出精度差,并且在計(jì)算時(shí)需要通過(guò)查表確定計(jì)算結(jié)果,所以并不能保證其波形的對(duì)稱性,諧波含量也會(huì)因?yàn)椴ㄐ蔚牟粚?duì)稱而增加。

            對(duì)于調(diào)制類PWM,有三種方式:同步調(diào)制,異步調(diào)制,分段同步調(diào)制三種方式。同步調(diào)制雖然可以在調(diào)制波頻率變化的所有范圍內(nèi),載波與調(diào)制波的相位相同, PWM波形一直保持對(duì)稱,輸出諧波的低次諧波可以得到消除。但是在載波頻率變化范圍大時(shí),電力電子器件的開關(guān)頻率變化范圍大,在低頻時(shí),將給系統(tǒng)引入大量較低頻率的諧波。異步調(diào)制的優(yōu)點(diǎn)在于載波頻率在調(diào)速過(guò)程中載波不變,高次諧波對(duì)系統(tǒng)的影響基本固定,可以彌補(bǔ)同步調(diào)制的缺點(diǎn)。但是異步調(diào)制無(wú)法在大部分頻率點(diǎn)上都保證調(diào)制波與載波相位相對(duì)的固定,出現(xiàn)不對(duì)稱波形,會(huì)給系統(tǒng)引入大量的低次諧波、偶次諧波和余弦項(xiàng)。分段同步調(diào)制可以綜合以上兩種方式的優(yōu)點(diǎn),但在波比切換時(shí)可能出現(xiàn)電壓突變,甚至震蕩?;谝陨侠碚?,本文提出一種新的,可以在異步調(diào)制下,使PWM波形在T/2周期內(nèi)始終保持關(guān)于T/4 周期的完全對(duì)稱。

            1 原理

            在用數(shù)字化控制技術(shù)產(chǎn)生PWM脈沖時(shí),三角載波實(shí)際上是不存在的,完全由軟件及硬件定時(shí)器代替,圖1為三角載波的產(chǎn)生原理(Ttimer為定時(shí)器的值)。 PWM脈沖的產(chǎn)生機(jī)理為:定時(shí)器重復(fù)按照PWM周期進(jìn)行計(jì)數(shù)。比較寄存器用于保持調(diào)制值,比較寄存器中的值與定時(shí)器計(jì)數(shù)器的值相比較,當(dāng)兩個(gè)值匹配時(shí), PWM輸出就會(huì)跳變;當(dāng)兩個(gè)值產(chǎn)生二次匹配或者一個(gè)定時(shí)器的周期結(jié)束時(shí),就會(huì)產(chǎn)生第二次輸出跳變。通過(guò)這種方式就會(huì)產(chǎn)生一個(gè)周期與比較寄存器值成比例的脈沖信號(hào)。在比較單元中重復(fù)完成計(jì)數(shù)、匹配輸出的過(guò)程,產(chǎn)生PWM信號(hào),如圖2所示。

          三角載波的產(chǎn)生原理

          數(shù)字化控制產(chǎn)生PWM信號(hào)機(jī)理

            基于數(shù)字化控制技術(shù)產(chǎn)生PWM脈沖的這種特點(diǎn),利用本文提出的算法,可以實(shí)現(xiàn)在任何頻率下產(chǎn)生完全對(duì)稱的PWM波形。其原理為:根據(jù)三角載波頻率及DSP 系統(tǒng)時(shí)鐘頻率確定定時(shí)器周期,利用數(shù)學(xué)計(jì)算方法,將形成載波的定時(shí)器周期等分,均分后所得到的數(shù)作為脈寬增量單元,隨時(shí)間遞增。脈寬以脈寬增量為單元成比例地增加或減少。

            三角載波由軟件及硬件定時(shí)器形成,三角載波的頻率由時(shí)鐘頻率及定時(shí)器的周期值決定。根據(jù)需要可以選取一個(gè)定時(shí)器周期T1,以確定調(diào)頻過(guò)程中的固定載波頻率。由于載波頻率不變,故整個(gè)調(diào)頻過(guò)程的載波比是變動(dòng)的,可先設(shè)定在一個(gè)固定的輸出波頻率f1下的載波比為n1,對(duì)所需的輸出頻率f(對(duì)應(yīng)的周期為T)進(jìn)行處理,如式(1)所示,x為f處理后的值。圖3所示為均分載波的原理圖,將定時(shí)器的周期進(jìn)行等分為n1/(4x)份,則每份的寬度叫可由式(2)確定:

          f1/1=fx (1)

          ω=4T1x/n1 (2)

            式中:ω為脈寬增量的最小單元。在確定了脈寬增量的最小單元值之后,以ω為增量單元,隨時(shí)間遞增,依次增大或減小占空比的值。占空比的增大過(guò)程為:第一個(gè)裝載占空比為ω,第二個(gè)裝載占空比為2ω,第三個(gè)裝載占空比為3ω,第y個(gè)裝載占空比的值為yω,占空比的值以此規(guī)律依次增加。式(3)為脈寬遞增時(shí)占空比值DC更新規(guī)律的數(shù)學(xué)表達(dá)式。式中K的值是為滿足沖量定理所需的系數(shù),將在后面做詳細(xì)的計(jì)算和論述。

          公式

          均分載波的原理圖

            當(dāng)輸出脈沖達(dá)到最大寬度MAX(DC)時(shí),a計(jì)數(shù)值也達(dá)到最大值MAX(a),已完成T/4周期的脈沖輸出。此時(shí),占空比從最大寬度依次減小,減小的規(guī)律為yω,(y-1)ω,直至ω0式(4)為脈寬遞減時(shí)占空比值DC'更新規(guī)律的數(shù)學(xué)表達(dá)式。其中,DC'的初始值為MAX(DC),a'的初始值為MAX (a)。

          公式

            由以上原理可以看出,PWM波形在T/2內(nèi)關(guān)于T/4完全對(duì)稱,圖4所示為占空比更新的原理圖。

          占空比更新的原理圖

            由上述分析,載波頻率在整個(gè)過(guò)程中是固定值,所以具備了異步調(diào)制的優(yōu)點(diǎn)。同時(shí),脈寬是完全由形成載波的時(shí)鐘數(shù)量、期望輸出波的頻率因素決定,而不是由查表得到,可以克服異步調(diào)制時(shí)大多數(shù)情況下載波與調(diào)制波相位不同步的缺點(diǎn)。此種算法綜合了同步和異步調(diào)制的優(yōu)點(diǎn),避免了采用分段同步調(diào)制時(shí)需要考慮調(diào)頻的問(wèn)題。PWM的基本依據(jù)是面積相等原理,即沖量(面積)相等不同形狀的窄脈沖加到慣性環(huán)節(jié)上,其作用效果基本相同。在保證波形對(duì)稱的基礎(chǔ)上,討論該算法對(duì)沖量相等原則的實(shí)現(xiàn)。以正弦調(diào)制為例,當(dāng)調(diào)制波為正弦波時(shí),根據(jù)面積相等原則,其正弦半波積分的面積等于脈沖相加之和,如式(5)所示。

            根據(jù)占空比更新原理可以確定沖量面積,如式(6)所示。

          公式

            當(dāng)調(diào)制深度M=1時(shí),可得到系數(shù)K的值,如式(7)所示:

          公式

            根據(jù)以上公式,可準(zhǔn)確計(jì)算輸出波形面積,K值的選取可決定輸出電壓的幅值。

            2 實(shí)驗(yàn)結(jié)果

            為了驗(yàn)證提出的的正確性和可行性,利用TI公司的TMS320F2812進(jìn)行實(shí)驗(yàn);系統(tǒng)采用30 MHz外部晶振,通用定時(shí)器時(shí)鐘的頻率由系統(tǒng)5倍頻后,再6分頻得到,為25 MHz。該實(shí)驗(yàn)采用的載波頻率為fz=1 kHz,定時(shí)器周期值T1=12 500。輸出頻率f1=50 Hz時(shí),載波比n1=20。選擇在定時(shí)器達(dá)到周期值時(shí)裝載更新占空比的值,相當(dāng)于在三角載波的波峰時(shí)裝載。

            實(shí)驗(yàn)結(jié)果見(jiàn)圖5(UPWM為PWM脈沖幅值):圖5(a)為單極性調(diào)制時(shí)采用該算法得到的輸出波形,它是50 Hz正弦波調(diào)制時(shí)正半周期的輸出波形;圖5(b)是43 Hz正弦波調(diào)制時(shí)正半周期的輸出波形;圖5(c)是50 Hz單極性調(diào)制時(shí),正弦波PWM脈沖波形的能譜分析圖;圖5(d)是43 Hz單極性調(diào)制時(shí)正弦波PWM脈沖波形的能譜分析圖。

          實(shí)驗(yàn)結(jié)果

            3 結(jié) 語(yǔ)

            該算法是基于異步調(diào)制的優(yōu)化PWM脈沖波形的一種算法,它對(duì)于提高系統(tǒng)輸出質(zhì)量有著重要的意義。應(yīng)用此算法已成功地實(shí)現(xiàn)在1~400 Hz之內(nèi)調(diào)頻,輸出對(duì)T/4周期完全對(duì)稱的波形,有效地降低了諧波,運(yùn)行效果良好。



          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();