<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 一種基于FPGA實(shí)現(xiàn)高速異步FIFO的方案

          一種基于FPGA實(shí)現(xiàn)高速異步FIFO的方案

          作者: 時(shí)間:2014-05-30 來(lái)源:網(wǎng)絡(luò) 收藏

          現(xiàn)代集成電路芯片中,隨著設(shè)計(jì)規(guī)模的不斷擴(kuò)大。一個(gè)系統(tǒng)中往往含有數(shù)個(gè)時(shí)鐘。多時(shí)鐘帶來(lái)的一個(gè)問(wèn)題就是,如何設(shè)計(jì)異步時(shí)鐘之間的接口電路。異步 (First In First Out)是解決這個(gè)問(wèn)題的一種簡(jiǎn)便、快捷的解決方案。使用異步可以在兩個(gè)不同時(shí)鐘系統(tǒng)之間快速而方便地傳輸實(shí)時(shí)數(shù)據(jù)。在網(wǎng)絡(luò)接口、圖像處理等方面,異步都得到廣泛的應(yīng)用。異步FIFO是一種先進(jìn)先出的電路,使用在數(shù)據(jù)接口部分,用來(lái)存儲(chǔ)、緩沖在兩個(gè)異步時(shí)鐘之間的數(shù)據(jù)傳輸。在異步電路中,由于時(shí)鐘之間周期和相位完全獨(dú)立,因而數(shù)據(jù)的丟失概率不為零。如何設(shè)計(jì)一個(gè)可靠性高、速度高的異步FIFO電路便成為一個(gè)難點(diǎn)。

          本文引用地址:http://www.ex-cimer.com/article/247664.htm

          1 異步FIFO的工作原理及邏輯框圖

          本文根據(jù)實(shí)際工作的需要。給出了一種利用片內(nèi)RAM構(gòu)造FIFO器件的設(shè)計(jì),重點(diǎn)強(qiáng)調(diào)了設(shè)計(jì)有效??煽康奈帐中盘?hào)FULL和EMPTY的方法。并在LATTICE公司的芯片LFXP2-5E上實(shí)現(xiàn)。LFXP2-5E屬于LATIICE公司XP2系列的一款,他采用優(yōu)化的FlexiFLASH結(jié)構(gòu)。內(nèi)部包含有基于查找表的邏輯、分布式和嵌入式存儲(chǔ)器,鎖相環(huán)(PLL)。工程預(yù)制的源同步I/0以及增強(qiáng)的SysDSP塊。有166Kbits的EBRSRAM。利用其內(nèi)部的EBRSRAM可以實(shí)現(xiàn)一定容量的異步FIFO.而無(wú)需單獨(dú)購(gòu)買(mǎi)FIF0器件。

          由圖1可以看出:異步FIFO一般由四個(gè)模塊構(gòu)成:數(shù)據(jù)存儲(chǔ)單元,寫(xiě)地址產(chǎn)生模塊,讀地址產(chǎn)生模塊,標(biāo)志位產(chǎn)生模塊。整個(gè)系統(tǒng)分為兩個(gè)完全獨(dú)立的時(shí)鐘域—讀時(shí)鐘域和寫(xiě)時(shí)鐘域:在寫(xiě)時(shí)鐘域部分由寫(xiě)地址產(chǎn)生邏輯產(chǎn)生寫(xiě)控制信號(hào)和寫(xiě)地址:讀時(shí)鐘域部分,由讀地址產(chǎn)生邏輯產(chǎn)生讀控制信號(hào)和讀地址;在標(biāo)志位產(chǎn)生模塊部分,由讀寫(xiě)地址相互比較產(chǎn)生空/滿(mǎn)標(biāo)志。異步FIFO的操作過(guò)程為:在寫(xiě)時(shí)鐘的上升沿。當(dāng)寫(xiě)使能有效時(shí),將數(shù)據(jù)寫(xiě)入到雙口RAM中寫(xiě)地址對(duì)應(yīng)的位置中:在讀時(shí)鐘的上升沿,當(dāng)讀使能有效時(shí)。則按先進(jìn)先出順序讀出數(shù)據(jù)。在FIFO寫(xiě)滿(mǎn)或讀空的情況下。分別對(duì)滿(mǎn)標(biāo)志FuLL或空標(biāo)志EMPTY信號(hào)置位。來(lái)表示FIFO的兩種特殊狀態(tài)。

           

           

          圖1異步FIFO邏輯框圖

          2 異步FIFO的VHDL實(shí)現(xiàn)讀時(shí)鐘

          2.1 FIFO設(shè)計(jì)的難點(diǎn)

          如何同步異步信號(hào),使觸發(fā)器不產(chǎn)生亞穩(wěn)態(tài)是設(shè)計(jì)異步FIFO的難點(diǎn)。國(guó)內(nèi)外解決此問(wèn)題的較成熟辦法是對(duì)寫(xiě)地址膜地址采用格雷碼,本文也直接采用格雷碼。異步FIFO設(shè)計(jì)的另一個(gè)難點(diǎn)是如何判斷FIFO的空/滿(mǎn)狀態(tài)。為了保證數(shù)據(jù)正確的寫(xiě)入或讀出。必須保證異步FIFO在滿(mǎn)的狀態(tài)下。不能進(jìn)行寫(xiě)操作:在空的狀態(tài)下不能進(jìn)行讀操作。通常情況下將存儲(chǔ)器組織成一個(gè)環(huán)形鏈表。

          滿(mǎn)/空標(biāo)志產(chǎn)生的原則是:寫(xiě)滿(mǎn)不溢出。讀空不多讀。即無(wú)論在什么情況。都不應(yīng)出現(xiàn)讀寫(xiě)地址同時(shí)對(duì)一個(gè)存儲(chǔ)器地址操作的情況。在讀寫(xiě)地址相等或相差一個(gè)或多個(gè)地址的時(shí)候,滿(mǎn)標(biāo)志應(yīng)該有效。表示此時(shí)FIFO已滿(mǎn),外部電路應(yīng)停止對(duì)FIFO發(fā)數(shù)據(jù)。在滿(mǎn)信號(hào)有效時(shí)寫(xiě)數(shù)據(jù)應(yīng)根據(jù)設(shè)計(jì)的要求,或保持、或拋棄重發(fā)。同理,空標(biāo)志的產(chǎn)生也是如此。為了更好的判斷滿(mǎn)/空標(biāo)志。采用在FIFO原來(lái)深度的基礎(chǔ)上增加一位的方法,而由該位組成的格雷碼并不代表新的地址。也就是說(shuō)3位格雷碼可表示8位的深度,若再加一位最高位MSB,則這一位加其他三位組成的格雷碼并不代表新的地址,也就是說(shuō)格雷碼的0100表示7,而1100仍然表示7,只不過(guò)格雷碼在經(jīng)過(guò)一個(gè)以0位MSB的循環(huán)后進(jìn)入一個(gè)以1為MSB的循環(huán),然后又進(jìn)入一個(gè)以0位MSB的循環(huán)。其他的三位碼仍然是格雷碼。舉例說(shuō)明:一個(gè)深度為8字節(jié)的FIFO怎樣工作(使用已轉(zhuǎn)換為二進(jìn)制的指針),N=3,指針寬度為N+I=4。開(kāi)始Rd_ptr_bin和 Wr_ptr_bin均為“0000”。此時(shí)FIFO中寫(xiě)入8個(gè)字節(jié)的數(shù)據(jù)。

          Wr_ptr_bin=“1000“,Rd_ptr_bin=“0000”。當(dāng)然,這就是滿(mǎn)條件?,F(xiàn)在,假設(shè)執(zhí)行了8次的讀操作。使得 Rd_ptr_bin=“1000”,這就是空條件。另外的8次寫(xiě)操作將使Wr_ptr_bin等于“0000”,但Rd_ptr_bin仍然等于 “1000”,因此,F(xiàn)IFO為滿(mǎn)條件。

          由以上可知。滿(mǎn)標(biāo)志的產(chǎn)生條件為:寫(xiě)指針趕上讀指針。即寫(xiě)滿(mǎn)后,又從零地址開(kāi)始寫(xiě)直到趕上讀指針,這時(shí)期讀寫(xiě)指針的最高位不同,其他位相同,這就是滿(mǎn)條件??諛?biāo)志的產(chǎn)生條件為:復(fù)位或者是讀指針趕上寫(xiě)指針。即在寫(xiě)指針循環(huán)到第二輪時(shí)讀指針趕上寫(xiě)指針,這時(shí)讀寫(xiě)指針的高低位均相同,這就是空條件。

          2.2異步FIFO的VHDL語(yǔ)言實(shí)現(xiàn)

          以下為本程序的核心部分

          程序1格雷碼計(jì)數(shù)器的實(shí)現(xiàn)

           

           

           

           

          3 仿真驗(yàn)證

          基于以上的分析結(jié)合實(shí)際本文構(gòu)造了一個(gè)8192x8的FIFO,用MODELSIM進(jìn)行仿真。對(duì)該異步FIFO編寫(xiě)測(cè)試向量進(jìn)行仿真,如圖2所示。

           

           

          圖2仿真波形圖

          圖2中,WClk為寫(xiě)時(shí)鐘,Writeen_in為寫(xiě)使能,F(xiàn)ull_out為滿(mǎn)信號(hào),Data_in為數(shù)據(jù)入,RClk為讀時(shí)鐘,ReadEn_in為讀時(shí)能,Empty_out為空信號(hào),Data_out為數(shù)據(jù)出,Clear_in為系統(tǒng)清零信號(hào)。上面部分為寫(xiě)地址產(chǎn)生模塊部分的信號(hào)波形,從圖2中可以看出。在寫(xiě)時(shí)鐘的上升沿。在寫(xiě)時(shí)能為高有效期間擻據(jù)開(kāi)始輸入到RAM里面,而在讀時(shí)鐘的上升沿,在讀時(shí)能有效時(shí),在本仿真時(shí)間的195ns處。開(kāi)始輸出數(shù)據(jù)。將程序下載到LATTICE公司的芯片中,經(jīng)過(guò)測(cè)試驗(yàn)證,系統(tǒng)的時(shí)鐘頻率可達(dá)33MHz。

          4 總結(jié)

          本文主要研究了用芯片實(shí)現(xiàn)異步FIFO的一種方法。詳細(xì)闡述了空,滿(mǎn)標(biāo)志信號(hào)的產(chǎn)生方法。按照以上思想所設(shè)計(jì)的異步FIFO已經(jīng)在實(shí)際電路中得到了應(yīng)用。實(shí)踐證明他可以解決大多數(shù)異步FIFO電路常見(jiàn)的錯(cuò)誤。同時(shí)增加了系統(tǒng)的可靠性和應(yīng)用靈活性。

          fpga相關(guān)文章:fpga是什么


          塵埃粒子計(jì)數(shù)器相關(guān)文章:塵埃粒子計(jì)數(shù)器原理
          鎖相環(huán)相關(guān)文章:鎖相環(huán)原理


          關(guān)鍵詞: FPGA FIFO

          評(píng)論


          相關(guān)推薦

          技術(shù)專(zhuān)區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();