<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 測試測量 > 設(shè)計(jì)應(yīng)用 > 油料電導(dǎo)率儀自動檢定的方法

          油料電導(dǎo)率儀自動檢定的方法

          作者: 時(shí)間:2014-01-08 來源:網(wǎng)絡(luò) 收藏

            控制軟件在主結(jié)構(gòu)上采用了精確定時(shí)While循環(huán) 順序結(jié)構(gòu)的方式。采用精確定時(shí)While循環(huán)而非for循環(huán)可保證測試過程中檢定時(shí)間點(diǎn)的準(zhǔn)確性;在程序的后期調(diào)試過程中,發(fā)現(xiàn)直流模擬電導(dǎo)對控制指令的容錯(cuò)性較差,當(dāng)一條控制指令的內(nèi)容過長或者相鄰兩條指令的間隔過短都會造成直流模擬電導(dǎo)的運(yùn)行錯(cuò)誤。因此,程序最后選擇了在While循環(huán)內(nèi)部采用順序結(jié)構(gòu),將一條完整的控制指令分割成兩條,并且在每條指令完成后都加入時(shí)間延遲,結(jié)果證明這種結(jié)構(gòu)很好地控制了直流模擬電導(dǎo)運(yùn)行過程中錯(cuò)誤的發(fā)生。

            良好的程序結(jié)構(gòu)設(shè)計(jì)保證了整個(gè)檢定流程的準(zhǔn)確無誤,從而間接確保了所采集的圖象數(shù)據(jù)的一致性和準(zhǔn)確性,大大降低了人工采集數(shù)據(jù)的不確定性和不可控因素的不利影響。程序的整體結(jié)構(gòu)如圖2所示。

            3.檢定過程

            圖3是控制軟件的控制界面圖。從圖中可以看出,該軟件可以控制直流模擬電導(dǎo)裝置從0~1999pS這9個(gè)點(diǎn)自動進(jìn)行輸出。

            軟件運(yùn)行后,程序自動將輸出順序、間隔時(shí)間和檢定點(diǎn)的設(shè)置值都設(shè)置完畢。如果需要改變其中的參數(shù),可以通過編輯源程序進(jìn)行。用鼠標(biāo)點(diǎn)擊顯示面板上方的。按鈕,開始。綠色指示燈首先點(diǎn)亮,表示輸出為。當(dāng)所有的綠色指示燈全部變綠時(shí),檢定結(jié)束。更換下一個(gè)被檢時(shí)再次用鼠標(biāo)點(diǎn)擊顯示面板上方的。按鈕點(diǎn)是因?yàn)橐曨l采集卡需要在被檢儀器穩(wěn)定后才可以進(jìn)行圖像采集。因此必須在。結(jié)束點(diǎn)后面多設(shè)置一個(gè)點(diǎn),才能夠采集到。的顯示數(shù)據(jù)。

            的檢定結(jié)果通過視頻采集系統(tǒng)被計(jì)算機(jī)自動記錄,記錄格式見圖4.

            檢定員可以通過圖。計(jì)算的顯示單元檢定結(jié)果。如果需要其他點(diǎn)的檢定數(shù)據(jù),可以通過修改程序進(jìn)行調(diào)整。

            4.結(jié)束語

            采用軟件編制的自動控制程序,具有編程簡潔、使用方便的優(yōu)點(diǎn)。同時(shí)采用直流模擬電導(dǎo)裝置可以方便地進(jìn)行計(jì)算機(jī)自動控制,較好地解決了過去油料電導(dǎo)率儀無法的問題。該方法對油料電導(dǎo)率儀的生產(chǎn)廠家和檢定機(jī)構(gòu)提高檢定效率很有幫助,并且能有效減少檢定過程的人為干擾,提高檢定結(jié)果的可靠性。


          上一頁 1 2 下一頁

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();