<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設計應用 > 基于DSPBuilder的FIR濾波器的系統(tǒng)設計

          基于DSPBuilder的FIR濾波器的系統(tǒng)設計

          作者: 時間:2012-04-19 來源:網(wǎng)絡 收藏


          1.引言

          本文引用地址:http://www.ex-cimer.com/article/257565.htm

          在信息信號處理過程中,如對信號的過濾、檢測、預測等,都要使用濾波器,數(shù)字濾波器是數(shù)字信號處理(DSP,DigitalSignalProcessing)中使用最廣泛的一種器件。常用的濾波器有無限長單位脈沖響應(ⅡR)濾波器和有限長單位脈沖響應(FIR)濾波器兩種[1],其中,能提供理想的線性相位響應,在整個頻帶上獲得常數(shù)群時延從而得到零失真輸出信號,同時它可以采用十分簡單的算法實現(xiàn),這兩個優(yōu)點使成為明智的設計工程師的首選,在采用VHDL或VerilogHDL等硬件描述語言設計數(shù)字濾波器時,由于程序的編寫往往不能達到良好優(yōu)化而使濾波器性能表現(xiàn)一般。而采用調(diào)試好的IPCore需要向Altera公司購買。筆者采用了一種基于設計方法,使設計較為簡單易行,并能滿足設計要求。

          2 FIR濾波器介紹

          2.1 FIR濾波器設計的原理

          FIR濾波器的數(shù)學表達式可用差分方程(1)來表示:

          其中:r是FIR的濾波器的抽頭數(shù);b(r)是第r級抽頭數(shù)(單位脈沖響應);x(n-r)是延時r個抽頭的輸入信號。

          設計濾波器的任務就是尋求一個因果,物理上可實現(xiàn)的系統(tǒng)函數(shù)H(z),使其頻率響應H(ejw)滿足所希望得到的頻域指標。

          2.2 設計要求

          數(shù)字濾波器實際上是一個采用有限精度算法實現(xiàn)的線性非時變離散系統(tǒng),它的設計步驟為先根據(jù)需要確定其性能指標,設計一個系統(tǒng)函數(shù)H(z)逼近所需要的技術指標,最后采用有限的精度算法實現(xiàn)。本系統(tǒng)的設計指標為;設計一個16階的低通濾波器,對模擬信號的采樣頻率fs為48KHz要求信號的截止頻率fc=10.8kHz輸入序列位寬為9位(最寬位為符號位)。

          3 介紹

          DSPbuilder是Altera推出的一個DSP開發(fā)工具,它在QuartusⅡ設計環(huán)境中集成了Mathworks的Matlab和simulinkDSP開發(fā)軟件[2]。

          以往Matlab工具的使用往往作為DSP算法的建模和基于純數(shù)學的仿真,其數(shù)學模型無法為硬件DSP應用系統(tǒng)直接產(chǎn)生實用程序代碼,仿真測試的結(jié)果也僅僅是基于數(shù)學算法結(jié)構。而以往所需的傳統(tǒng)的基于硬件描述語言(HDL)的設計由于要考慮FPGA的硬件的δ延時與VHDL的遞歸算法的銜接,以及補碼運算和乘積結(jié)果截取等問題,相當繁雜。

          對DSP是Builder而言,頂層的開發(fā)工具是MatLab/整個開發(fā)流層幾乎可以在同一環(huán)境中完成,真正實現(xiàn)了自定向下的設計流程,包括DSP系統(tǒng)的建模、系統(tǒng)級仿真、設計模型向VHDL硬件描述語言代碼的轉(zhuǎn)換、RTL(邏輯綜合RegisterTransferLevel)級功能仿真測試、編譯適配和布局布線、時序?qū)崟r仿真直至對DSP目標器件的編程配置,整個設計流程一氣呵成地將系統(tǒng)描述和硬件實現(xiàn)有機地融為一體,充分顯示了現(xiàn)代電子設計自動化開發(fā)的特點與優(yōu)勢。

          4 FIR數(shù)字濾波器的設計

          4.1 FIR濾波器參數(shù)選取

          用Matlab提供的濾波器設計的專門工具箱———FDATool仿真設計濾波器,滿足要求的FlR濾波器幅頻特性如圖1,由于浮點小數(shù)FPGA中實現(xiàn)比較困難,且代價太大,因而需要將濾波器的系數(shù)和輸入數(shù)據(jù)轉(zhuǎn)化為整數(shù),其中量化后的系數(shù)在Matlab主窗口可直接轉(zhuǎn)化,對于輸入數(shù)據(jù),可乘上一定的增益用Altbus控制位寬轉(zhuǎn)化為整數(shù)輸入。

          4.2 FIR濾波器模型建立

          根據(jù)FIR濾波器原理,可以利用FPGA來實現(xiàn)FIR濾波電路,DSPBuilder設計流程的第一步是在Matlab/中進行設計輸入,即在Matlab的環(huán)境建立一個MDL模型文件,用圖形方式調(diào)用AlteraDSPBuilder和其他的Simulink庫中的圖形模塊,構成系統(tǒng)級或算法級設計框圖(或稱Simulink建模),如圖2所示。


          上一頁 1 2 下一頁

          評論


          相關推薦

          技術專區(qū)

          關閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();