<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計應(yīng)用 > 一款基于FPGA的CDMA調(diào)制/解調(diào)模塊設(shè)計

          一款基于FPGA的CDMA調(diào)制/解調(diào)模塊設(shè)計

          作者: 時間:2014-09-02 來源:網(wǎng)絡(luò) 收藏

            2.1 發(fā)射單元設(shè)計

          本文引用地址:http://www.ex-cimer.com/article/262485.htm

            發(fā)射單元主要包括偽隨機(jī)序列碼模塊(PN 碼發(fā)生器),擴(kuò)頻模塊,BPSK調(diào)制模塊。

            2.1.1 PN碼發(fā)生器

            PN碼發(fā)生器采用m序列發(fā)生器的原理,m序列式最長線性移位寄存器,是由移位寄存器加反饋后形成的。一個線性反饋移存器能產(chǎn)生m 序列的充分必要條件為:期特征多項式為本原多項式。本設(shè)計設(shè)計了一個7 級周期為127 的發(fā)生器,所選用的本原多項式為f (x) = 1 + x + x2 + x6,使用VHDL語言編寫。

            2.1.2 擴(kuò)頻模塊

            將PN碼發(fā)生器生成的m序列與輸入的數(shù)字信號進(jìn)行異或,完成擴(kuò)頻功能。擴(kuò)頻模塊的RTL圖如圖5所示。

            

           

            圖5 擴(kuò)頻模塊RTL圖

            2.1.3 BPSK調(diào)制模塊

            調(diào)制模塊選擇了具有恒包絡(luò)特性的BPSK調(diào)制,它是通過基帶信號控制載波的相位,使得載波相位發(fā)生跳變的一種調(diào)制方式。當(dāng)碼元為‘1’時,調(diào)制后相位變?yōu)?80°,當(dāng)碼元為‘0',時,調(diào)制后相位變?yōu)?°,為此設(shè)計了BPSK 調(diào)制模塊,設(shè)計例化了兩個ROM,通過Matlab 生成。mif文件用來存放0°和180°的數(shù)據(jù),另外還有地址選擇器,數(shù)據(jù)選擇器。

            整個發(fā)射端的仿真圖如圖6所示,clk為系統(tǒng)時鐘,clk_bpsk 為進(jìn)行BPSK 調(diào)制的時鐘,datain 為輸入數(shù)據(jù),m_out 為生成的m 序列,spre_out 為擴(kuò)頻后 的波形,bpsk_out為BPSK調(diào)制后的輸出。從結(jié)果可以明顯地看出輸出信號有兩次相位變化,一次是從0°~180°的跳變,另一次是從180°~0°的跳變,可以看到數(shù)據(jù)被正確的調(diào)制。

            

           

            圖6 發(fā)射單元仿真圖

            2.2 接收單元設(shè)計

            為了驗證設(shè)計系統(tǒng)的可行性,系統(tǒng)里設(shè)計了BPSK解調(diào)和解擴(kuò)模塊,并將發(fā)射端調(diào)制好的數(shù)據(jù)直接作為接收端的輸入數(shù)據(jù)。BPSK 解調(diào)模塊里同樣例化了一個ROM,存儲了相位為0°的數(shù)據(jù),將通過載波同步后的數(shù)據(jù)與ROM的輸出數(shù)據(jù)進(jìn)行相乘,然后進(jìn)行抽樣判決,判決結(jié)果如圖7所示,圖中spre_out為發(fā)射端擴(kuò)頻完的數(shù)據(jù),sam_out 為進(jìn)行抽樣判決后并延時了70 個clk_bpsk,目的是為了將數(shù)據(jù)恰好在數(shù)據(jù)始終的上升沿,p_out表示開始進(jìn)行解調(diào)輸出,從圖中可以看出判決延時后的數(shù)據(jù)恰好與擴(kuò)頻后的數(shù)據(jù)完全相同,只是延時了一段時間表示解調(diào)時間。

            

           

            圖7 BPSK解調(diào)模塊結(jié)果圖

            假設(shè)解擴(kuò)模塊里已進(jìn)行PN 碼的同步,此處只 是進(jìn)行了一定時間的延時,使其恰好與發(fā)射端PN 碼相同,然后與BPSK 解調(diào)后的數(shù)據(jù)進(jìn)行異或,得到輸出數(shù)據(jù),結(jié)果如8 所示,sp_end 為解擴(kuò)完的數(shù)據(jù),p_end 為標(biāo)志位表示開始進(jìn)行解擴(kuò),datain 為輸入的原始數(shù)據(jù),從圖中可以看出解擴(kuò)的數(shù)據(jù)域最初的原始輸入數(shù)據(jù)相同,只是有一段時間的延時,可看出系統(tǒng)進(jìn)行了正確的解調(diào)。

            

           

            圖8 解調(diào)仿真圖

            3 結(jié)語

            本文設(shè)計了一個基于 的直接序列擴(kuò)頻系統(tǒng)的水聲通信調(diào)制/解調(diào)系統(tǒng),目的在于使水聲無線通信中具有更強的抗干擾性和保密性,系統(tǒng)中包含了信號的擴(kuò)頻及BPSK 調(diào)制以及相應(yīng)的解調(diào)模塊,并且在Modelsim 仿真軟件上驗證成功。雖然BPSK 調(diào)制相對于2FSK,2ASK 具有帶寬窄、頻率高、抗干擾性強等優(yōu)點,廣泛的應(yīng)用于中高速通信中。但是在更高速的通信系統(tǒng)中,BPSK調(diào)制已經(jīng)不能滿足頻帶利用率和系統(tǒng)的有效性等要求,故基本采用多進(jìn)制調(diào)相系統(tǒng)。此外,絕對調(diào)相系統(tǒng)會產(chǎn)生倒相現(xiàn)象,因此應(yīng)該考慮采用相對相位調(diào)相系統(tǒng),基于該思路的水聲無線通信一定會有更好的應(yīng)用前景。

          fpga相關(guān)文章:fpga是什么


          cdma相關(guān)文章:cdma原理


          晶振相關(guān)文章:晶振原理

          上一頁 1 2 下一頁

          關(guān)鍵詞: FPGA CDMA ADS7800

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();