<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 基于MPSoC的以太網(wǎng)接口設(shè)計(jì)與實(shí)現(xiàn)

          基于MPSoC的以太網(wǎng)接口設(shè)計(jì)與實(shí)現(xiàn)

          作者: 時(shí)間:2014-12-02 來(lái)源:網(wǎng)絡(luò) 收藏

            2.2 接口時(shí)序圖

          本文引用地址:http://www.ex-cimer.com/article/266251.htm

            控制器IP核接口時(shí)序如圖5(a)所示。主要有3類信號(hào):時(shí)鐘信號(hào)、控制信號(hào)和數(shù)據(jù)信號(hào)。時(shí)鐘信號(hào)為clock。而控制信號(hào)有sof_ n、eof_n、scr_rdy_n,其中sof_n表示幀傳輸開始控制信號(hào),eof_n為幀傳輸結(jié)束控制信號(hào),scr_rdy_n為傳輸有效控制信號(hào),控制信號(hào)均為低電平有效。用戶端數(shù)據(jù)信號(hào)為Data。網(wǎng)路接口側(cè)時(shí)序圖如圖5(b)所示。信號(hào)包括ip_stb、ip_ack、ip_fail、ip_fwd、ip_cancal、ip_sus pond。它們?yōu)槎嗪讼到y(tǒng)網(wǎng)絡(luò)通訊的完成握手應(yīng)答傳輸機(jī)制??刂菩盘?hào)均為高電平有效,ip_data是數(shù)據(jù)傳輸信號(hào)。

            

           

            2.3 發(fā)送模塊設(shè)計(jì)

            發(fā)送模塊完成數(shù)據(jù)IP核接口到網(wǎng)路的數(shù)據(jù)接口協(xié)議轉(zhuǎn)換,如圖2所示。該模塊包括發(fā)送讀控制器,發(fā)送數(shù)據(jù)緩存模塊和發(fā)送寫控制器。發(fā)送讀控制器完成目的地址、源地址和數(shù)據(jù)類型的數(shù)據(jù)信息截?cái)?,把傳播的有效?shù)據(jù)寫入發(fā)送數(shù)據(jù)緩存模塊中,設(shè)計(jì)通過(guò)一個(gè)有限狀態(tài)機(jī)結(jié)合計(jì)數(shù)器來(lái)完成功能的實(shí)現(xiàn)。發(fā)送數(shù)據(jù)緩存模塊用一個(gè)異步FIFO來(lái)承擔(dān),同時(shí)完成數(shù)據(jù)8~32位的數(shù)據(jù)寬度擴(kuò)展,同時(shí)完成跨時(shí)鐘域數(shù)據(jù)傳輸任務(wù)。由于該模塊數(shù)據(jù)讀入是高時(shí)鐘頻率的8位數(shù)據(jù),數(shù)據(jù)讀出是系統(tǒng)時(shí)鐘頻率下的32位數(shù)據(jù),數(shù)據(jù)的流動(dòng)是由慢到快的傳遞過(guò)程,因此選用一個(gè)深度為64的FIFO單元來(lái)承擔(dān)。發(fā)送寫控制模塊通過(guò)讀取緩存模塊中的數(shù)據(jù),配置發(fā)送數(shù)據(jù)的有效信息,完成網(wǎng)絡(luò)數(shù)據(jù)包格式封裝,最后傳輸至多核系統(tǒng)通信網(wǎng)絡(luò)資源接口。

            2.4 接收模塊設(shè)計(jì)

            接收模塊承擔(dān)網(wǎng)絡(luò)數(shù)據(jù)包協(xié)議到IP核接口協(xié)議轉(zhuǎn)換,包含接收寫控制器、接收數(shù)據(jù)緩存模塊和接收讀控制器。接收寫控制器模塊設(shè)計(jì),通過(guò)網(wǎng)絡(luò)控制信號(hào)和FIFO標(biāo)識(shí)信號(hào)以及當(dāng)前狀態(tài)改變狀態(tài)機(jī)的狀態(tài)跳轉(zhuǎn)。根據(jù)不同狀態(tài)產(chǎn)生控制信號(hào),實(shí)現(xiàn)網(wǎng)絡(luò)包、配置包、結(jié)束包和負(fù)載信息的截取,把傳播的有效數(shù)據(jù)寫入數(shù)據(jù)緩沖模塊。數(shù)據(jù)緩存模塊把32位網(wǎng)絡(luò)數(shù)據(jù)轉(zhuǎn)換到8位寬的以太網(wǎng)控制器接口數(shù)據(jù),同時(shí)實(shí)現(xiàn)數(shù)據(jù)跨時(shí)鐘域傳輸任務(wù)。該數(shù)據(jù)流動(dòng)方向,速度是由快到慢的過(guò)程,結(jié)合硬件邏輯資源和任務(wù)請(qǐng)求的頻度,該設(shè)計(jì)選用一個(gè)深度為1 024,寬度為32的異步FIFO單元來(lái)承擔(dān)。接收讀控制器模塊通過(guò)讀取緩存FIFO中數(shù)據(jù),配置發(fā)送數(shù)據(jù)的源和目的網(wǎng)卡地址及幀類型,完成以太網(wǎng)數(shù)據(jù)幀的封裝,傳輸至以太網(wǎng)IP核用戶端接口。

            3 實(shí)驗(yàn)結(jié)果

            該硬件結(jié)構(gòu)在Xilinx M525開發(fā)板上驗(yàn)證實(shí)現(xiàn),芯片型號(hào)為Virtex-5 XC6VLX550T,其中芯片邏輯資源為207360,存儲(chǔ)資源為11.39 MB,寄存器資源為207 360,系統(tǒng)硬件在中資源占用如表1所示。

            

           

            表1是系統(tǒng)設(shè)計(jì)通過(guò)ModelSim功能仿真后,在Xilinx ISE工具上綜合后的結(jié)果,綜合頻率高達(dá)245.562 MHz。在系統(tǒng)運(yùn)行中,以太網(wǎng)控制器IP核時(shí)鐘工作頻率在125MHz,系統(tǒng)時(shí)鐘頻率為100MHz。通過(guò)仿真和下載驗(yàn)證后,接口通訊時(shí)鐘周期統(tǒng)計(jì)如表2所示。

            

           

            通過(guò)表2可以看出,以太網(wǎng)接口設(shè)計(jì)在完成兩種協(xié)議轉(zhuǎn)換和跨時(shí)鐘數(shù)據(jù)傳輸中,通訊響應(yīng)時(shí)間短,且具有實(shí)時(shí)和穩(wěn)定傳輸,避免了異步時(shí)鐘在數(shù)據(jù)傳輸中的效率問(wèn)題。

            實(shí)驗(yàn)測(cè)試,把FPGA開發(fā)板與PC機(jī)通過(guò)網(wǎng)線連接,如圖6所示。在PC機(jī)上編寫軟件程序,用于發(fā)送和接收硬件系統(tǒng)數(shù)據(jù),通過(guò)修改數(shù)據(jù)文件,測(cè)試不同深度的數(shù)據(jù)傳輸。比較發(fā)送數(shù)據(jù)和接收數(shù)據(jù)文件,判斷傳輸誤碼率。

            

           

            實(shí)驗(yàn)測(cè)試了不同文件大小的數(shù)據(jù)傳輸需要時(shí)間,統(tǒng)計(jì)結(jié)果如圖7所示。測(cè)試結(jié)果,發(fā)送與接收文件數(shù)據(jù),與預(yù)期結(jié)果一致。通訊時(shí)間與數(shù)據(jù)文件大小近似于線性關(guān)系,且傳輸時(shí)間短。以太網(wǎng)接口設(shè)計(jì)模塊為承擔(dān)網(wǎng)絡(luò)數(shù)據(jù)通訊,提供了實(shí)時(shí)和高吞吐率的通訊速度。此外,以太網(wǎng)模塊可以用于系統(tǒng)單模塊集成調(diào)試傳輸源數(shù)據(jù),提高驗(yàn)證效率。以太網(wǎng)接口模塊也可以應(yīng)用于通訊網(wǎng)絡(luò),實(shí)現(xiàn)系統(tǒng)數(shù)據(jù)與網(wǎng)絡(luò)通訊信息的交換。

            

           

            4 結(jié)束語(yǔ)

            研究了以太網(wǎng)在中的數(shù)據(jù)通訊,解決了系統(tǒng)在網(wǎng)路通訊中的實(shí)時(shí)和高吞吐率的數(shù)據(jù)傳輸瓶頸。通過(guò)該接口與多核系統(tǒng)通訊,可以完成局域網(wǎng)到廣域網(wǎng)數(shù)據(jù)信息傳遞。


          上一頁(yè) 1 2 下一頁(yè)

          關(guān)鍵詞: MPSoC 以太網(wǎng) FPGA

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();