<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 新品快遞 > Synopsys推出高性能嵌入式視覺處理器IP

          Synopsys推出高性能嵌入式視覺處理器IP

          作者: 時間:2015-04-17 來源:電子產(chǎn)品世界 收藏

            亮點:

          本文引用地址:http://www.ex-cimer.com/article/272727.htm

            · 全新DesignWare EV嵌入式視覺處理器系列顯著地提升了諸如視頻監(jiān)控、手勢識別和目標(biāo)監(jiān)測等嵌入式視覺應(yīng)用的精準(zhǔn)度和性能

            · 專為視覺應(yīng)用而優(yōu)化的多核架構(gòu)提供超過1000GOPS/W的性能,其功耗效率比其它可用的視覺處理器高5倍

            · 基于諸如OpenCV和OpenVX等多種新興嵌入式視覺標(biāo)準(zhǔn)的綜合編程環(huán)境可簡化應(yīng)用軟件開發(fā)

            新思科技公司(, Inc.,納斯達(dá)克股票市場代碼:SNPS)日前宣布:其全新DesignWare® EV視覺處理器產(chǎn)品系列中的首批產(chǎn)品開始供貨。該系列的EV52和EV54視覺處理器是完全可編程和可配置的視覺處理器核,它們結(jié)合了軟件解決方案的靈活性與專用硬件的低成本和低功耗特性。EV處理器以超過1000GOPS/W的性能實現(xiàn)了卷積神經(jīng)網(wǎng)絡(luò)(CNN),從而能夠僅以其他視覺解決方案的一小部分功耗,實現(xiàn)對諸如面部、行人和手勢等多樣化的目標(biāo)快速而準(zhǔn)確的監(jiān)測。為了加速應(yīng)用軟件開發(fā),EV處理器系列得到了基于OpenCV和OpenVX等等現(xiàn)有和新興的嵌入式視覺標(biāo)準(zhǔn),以及的MetaWare開發(fā)工具包的綜合性軟件編程環(huán)境的支持。通過把專為視覺數(shù)據(jù)處理而優(yōu)化的高性能硬件與高效編程工具結(jié)合在一起, EV處理器成為了各種嵌入式視覺應(yīng)用的一種理想解決方案,包括視頻監(jiān)控、手勢識別和目標(biāo)監(jiān)測等應(yīng)用。

            “在包括安全設(shè)備、游戲設(shè)備和汽車電子等諸多產(chǎn)品中,嵌入式系統(tǒng)從各種視覺輸入中提取觀測結(jié)果的能力正在變得越來越重要,這正是對擁有更高性能和更高能效的視覺處理功能的驅(qū)動性需求,”嵌入式視覺聯(lián)盟(Embedded Vision Alliance)創(chuàng)始人Jeff Bier表示。“像的DesignWare EV處理器這樣的專用處理器可以幫助設(shè)計人員為其視覺應(yīng)用實現(xiàn)想要的性能,而同時僅需適用于便攜式設(shè)備的功耗等級。”

            高性能多核硬件

            EV處理器系列包含多個高性能處理內(nèi)核,可在典型的28nm工藝技術(shù)中實現(xiàn)高達(dá)1GHz的運行速率。EV處理器系列也實現(xiàn)了一種前饋卷積神經(jīng)網(wǎng)絡(luò)(CNN)結(jié)構(gòu),它支持一個可編程的點對點串流互連網(wǎng)絡(luò),以用于快速和準(zhǔn)確的目標(biāo)監(jiān)測這一視覺處理的關(guān)鍵任務(wù)。執(zhí)行單元處理器的數(shù)量可配置,開發(fā)人員能夠在視覺應(yīng)用中利用通用的任務(wù)級和數(shù)據(jù)級并行處理功能,去執(zhí)行復(fù)雜的圖像和視頻識別算法,同時僅消耗市場上其它可用視覺處理器五分之一的功耗。

            高生產(chǎn)率的編程工具

            一個完整的、包括OpenVX和OpenCV庫、以及Synopsys的MetaWare開發(fā)工具包的軟件編程環(huán)境,簡化了Synopsys EV處理器系列的應(yīng)用軟件開發(fā)。可用于EV處理器的OpenCV源程序視覺庫提供了用于實時計算機(jī)視覺的2500多種功能。這些處理器都是可編程的,同時可以被“訓(xùn)練”從而支持任何監(jiān)測目標(biāo)圖形。OpenVX框架包括43個標(biāo)準(zhǔn)計算機(jī)視覺內(nèi)核, 它們已專為運行在EV處理器上而進(jìn)行了諸如邊緣監(jiān)測、圖像金字塔創(chuàng)建和光流估計等優(yōu)化。用戶們也可定義新的OpenVX內(nèi)核(kernel),為其目前的視覺應(yīng)用帶來靈活性,同時滿足未來目標(biāo)監(jiān)測的需求。OpenVX內(nèi)核可以在運行時分配給EV處理器的多個單元執(zhí)行,從而簡化了處理器的編程。完整的工具套件和源程序庫、以及可提供的參考設(shè)計使得設(shè)計人員能夠高效的構(gòu)建、調(diào)試、評估和優(yōu)化其嵌入式視覺系統(tǒng)。

            方便的SoC集成

            EV處理器專為無縫地集成到SoC中而設(shè)計。他們可與任何的主處理器搭配使用并與其并行工作。EV系列通過信息傳遞和中斷來支持與主處理器的同步。此外,EV處理器的內(nèi)存可映射到主處理器。這些特點使得主處理器能夠保持控制,同時使所有的視覺處理都能夠被卸載到EV處理器上,這樣可降低功耗并且加速結(jié)果呈現(xiàn)。EV處理器可以存取儲存在SoC內(nèi)存映射區(qū)域內(nèi)的數(shù)據(jù),或在需要的情況下,通過ARM®AMBA®AXIT™標(biāo)準(zhǔn)系統(tǒng)接口獨立地存取芯片外數(shù)據(jù)源提供的圖像數(shù)據(jù)。

            “嵌入式視覺正在從視頻監(jiān)控到消費性產(chǎn)品和游戲設(shè)備等一系列多樣化的應(yīng)用中推動創(chuàng)新,”Synopsys 和原型設(shè)計市場副總裁John Koeter表示。“Synopsys的全新EV處理器系列提供完美的目標(biāo)監(jiān)測精度和5倍的功效優(yōu)化,同時提供了一個全面的視覺程序庫和一個強大的軟件編程環(huán)境的支持。這種結(jié)合使設(shè)計團(tuán)隊能夠把嵌入式視覺功能更快地集成到更多的系統(tǒng)中,同時功耗比現(xiàn)有的解決方案大大降低。”

            供貨

            DesignWare EV52和EV54處理器計劃于2015年5月供貨。更多有關(guān)Synopsys的嵌入式視覺處理器的信息,請訪問:http://www.synopsys.com/dw/ipdir.php?ds=ev52-ev54



          關(guān)鍵詞: Synopsys IP

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();