<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > SOPC技術(shù)在電力機(jī)車改造中的應(yīng)用

          SOPC技術(shù)在電力機(jī)車改造中的應(yīng)用

          ——
          作者:徐海濤 王立德等 時(shí)間:2007-06-27 來源:?jiǎn)纹瑱C(jī)及嵌入式系統(tǒng)應(yīng)用 收藏
          引 言 
                機(jī)車邏輯控制模塊(LCM)是一種鐵路機(jī)車專用的采用硬件可編程的邏輯控制單元,是機(jī)車實(shí)時(shí)監(jiān)測(cè)與故障診斷系統(tǒng)的一個(gè)CAN網(wǎng)絡(luò)節(jié)點(diǎn)。將該模塊與整個(gè)系統(tǒng)應(yīng)用在干線電力機(jī)車上,實(shí)現(xiàn)了傳統(tǒng)的繼電器控制電路的可編程無觸點(diǎn)控制,大大減少了控制電路的觸點(diǎn)和布線,簡(jiǎn)化了機(jī)車控制電路的設(shè)計(jì)、生產(chǎn)和調(diào)試過程,并使機(jī)車電氣系統(tǒng)具有實(shí)時(shí)檢測(cè)、故障診斷與存儲(chǔ)顯示等功能;特別是列車速度提高后,繼電器電路因振動(dòng)加劇而出現(xiàn)誤動(dòng)作,無觸點(diǎn)邏輯控制模塊可以方便地克服該缺點(diǎn),提高控制系統(tǒng)可靠性,并可方便地用硬件描述語(yǔ)言實(shí)現(xiàn)各種控制功能,具有靈活性和通用性。無觸點(diǎn)控制是機(jī)車電氣控制系統(tǒng)的發(fā)展方向。

            圖1所示為機(jī)車實(shí)時(shí)監(jiān)測(cè)與故障診斷系統(tǒng)示意圖。

          機(jī)車實(shí)時(shí)監(jiān)測(cè)與故障診斷系統(tǒng)示意圖

            隨著系統(tǒng)集成技術(shù)不斷成熟,出現(xiàn)了IP(IntellectualProperty,知識(shí)產(chǎn)權(quán))產(chǎn)品及模塊化設(shè)計(jì)。在集成電路設(shè)計(jì)中,IP特指可以通過知識(shí)產(chǎn)權(quán)貿(mào)易在各設(shè)計(jì)公司間流通的實(shí)現(xiàn)特定功能的電路模塊。IP核的本質(zhì)特征是可重用性,通常滿足良好的通用性、良好的可移植性及絕對(duì)正確三個(gè)基

          本特征,是未來SOPC設(shè)計(jì)的核心。要使SOPC設(shè)計(jì)成功,就要更多地采用知識(shí)產(chǎn)權(quán)(IP)復(fù)用,以快速完成設(shè)計(jì),得到價(jià)格低廉的硅器件,從而滿足市場(chǎng)需求。

            本設(shè)計(jì)主要針對(duì)韶山3型4000系電力機(jī)車控制邏輯進(jìn)行分析優(yōu)化,并設(shè)計(jì)了可以完全取代原有邏輯控制功能的IP核;在此基礎(chǔ)上利用SOPC技術(shù)設(shè)計(jì)了機(jī)車邏輯控制模塊。

            1 機(jī)車邏輯控制模塊簡(jiǎn)介

            主要針對(duì)韶山3型4000系電力機(jī)車設(shè)計(jì)的邏輯控制模塊,借鑒了以往在韶山4G型電力機(jī)車上應(yīng)用的設(shè)計(jì)經(jīng)驗(yàn),在狀態(tài)采集(輸入)電路、驅(qū)動(dòng)(輸出)電路、保護(hù)電路、冗余設(shè)計(jì)以及邏輯處理方面都做了一定改進(jìn)。尤其是在邏輯處理部分大量采用現(xiàn)代集成技術(shù)和模塊化的設(shè)計(jì)方法,優(yōu)化了控制邏輯,進(jìn)一步提高了集成度和可靠性,其原理如圖2所示。

          LCM原理框圖

            邏輯控制模塊將控制指令信息通過采集電路輸入,經(jīng)過邏輯處理后驅(qū)動(dòng)執(zhí)行機(jī)構(gòu),并將當(dāng)前狀態(tài)信息通過CAN總線發(fā)送到司機(jī)顯示屏。

            2 邏輯替代的基本原理

            獲取繼電器的狀態(tài)即通過檢測(cè)與繼電器關(guān)聯(lián)的線號(hào)的得失電狀態(tài),經(jīng)過與之對(duì)應(yīng)的邏輯組合得出繼電器是否得電;得到的繼電器狀態(tài)構(gòu)成繼電器狀態(tài)表,供后級(jí)電路查詢。以最基本的自鎖連接的中間繼電器來說明,其示意圖如圖3所示,替代后的虛擬繼電器如圖4所示。

            替代后的中間繼電器采用通用的模塊化設(shè)計(jì)。在具體應(yīng)用過程中只要調(diào)用這些繼電器模塊,按原繼電器連接信號(hào)進(jìn)行端口定義就可以方便的使用。自鎖連接的繼電器功能仿真如圖5所示,其端口定義和Verilog HDL實(shí)現(xiàn)如下:

           

          自鎖連接的繼電器功能仿真圖

            對(duì)于時(shí)間繼電器,在輸出級(jí)根據(jù)繼電器狀態(tài)表里的狀態(tài)信息,通過對(duì)外部輸入脈沖的計(jì)數(shù)來達(dá)到延時(shí)動(dòng)作的目的。這種處理方式得到的延遲時(shí)間精度高,狀態(tài)穩(wěn)定。以零位時(shí)間繼電器為例說明其一般替代原理。其繼電器原理如圖6所示,替代后的虛擬繼電器如圖7所示。

            同樣,時(shí)間繼電器采用通用的模塊化設(shè)計(jì),虛擬的繼電器可以直觀地理解為實(shí)際繼電器模型;按照實(shí)際繼電器接線對(duì)其進(jìn)行信號(hào)端口定義就可以完全取代原有繼電器邏輯,最終得到的輸出信號(hào)輸送給調(diào)制模塊進(jìn)行100 kHz方波調(diào)制輸出給隔離變壓器。時(shí)間繼電器功能仿真如圖8所示,其端口定義和Verilog HDL實(shí)現(xiàn)如下:

          時(shí)間繼電器功能仿真波形

          3 邏輯控制IP核實(shí)現(xiàn)

            作為可重用的設(shè)計(jì)模塊,IP核必須遵從一定的互連接口標(biāo)準(zhǔn),包括Altera在內(nèi)的很多公司都有自己的一套互連接口的標(biāo)準(zhǔn),像Altera公司的Avalon、Atlantic,IBM公司的CoreConnect,ARM公司的AMBA,還有SiliCore轉(zhuǎn)讓給OpenCore的WISHBONE總線標(biāo)準(zhǔn)等。Avalon交換式總線是由Altera公司開發(fā)的一種專用內(nèi)部連線技術(shù)。Avalon交換式總線由SOPC Builder自動(dòng)生成,是一種最理想的用于系統(tǒng)處理器和外設(shè)之間的內(nèi)聯(lián)總線。每當(dāng)一個(gè)新的組件被添加到系統(tǒng)中或是某個(gè)外設(shè)的優(yōu)先級(jí)被改變,就會(huì)有一個(gè)新的、最佳的交換式總線被生成。

          邏輯控制單元

            采用Altera公司開發(fā)的Avalon交互式片上系統(tǒng)總線作為本IP核的內(nèi)聯(lián)總線,連接各個(gè)模塊。其結(jié)構(gòu)框圖如圖9所示,包括數(shù)字濾波器模塊、邏輯管理模塊、繼電器狀態(tài)生成模塊、輸出狀態(tài)生成模塊和輸出脈沖調(diào)制模塊。邏輯控制單元IP核內(nèi)共有3個(gè)Avalon從端口由片內(nèi)處理器內(nèi)核控制總線上的數(shù)據(jù)流傳輸,實(shí)現(xiàn)各個(gè)模塊的協(xié)同工作。

          內(nèi)部結(jié)構(gòu)

            邏輯控制單元IP替代原有繼電器控制邏輯,司機(jī)控制指令經(jīng)過分壓電路、光耦隔離以及施密特觸發(fā)器后進(jìn)入FPGA。為避免尖峰干擾造成的誤觸發(fā),在IP核中增加了數(shù)字濾波器。通過濾波器的信號(hào)被認(rèn)為是真正的司機(jī)指令,按照原有的控制功能,進(jìn)行邏輯處理并產(chǎn)生虛擬的繼電器狀態(tài)表。該狀態(tài)表在傳輸給輸出級(jí)邏輯模塊的同時(shí)可以接受Avalon總線訪問。輸出級(jí)根據(jù)繼電器狀態(tài)進(jìn)行邏輯處理,產(chǎn)生輸出狀態(tài)表。該狀態(tài)表經(jīng)過脈沖調(diào)制后作為隔離變壓器原邊驅(qū)動(dòng)信號(hào)傳送至輸出驅(qū)動(dòng)電路門極。

            4 邏輯控制IP核基礎(chǔ)上設(shè)計(jì)邏輯控制模塊

            Altera公司的Quarters II開發(fā)平臺(tái)提供了電子設(shè)計(jì)的軟硬件協(xié)同開發(fā)環(huán)境。邏輯控制模塊硬件設(shè)計(jì)如圖10所示。系統(tǒng)采用Avalon總線為系統(tǒng)總線,以32位NiosII微處理器作為主控制器。本設(shè)計(jì)在SOPC Builder開發(fā)環(huán)境下直接調(diào)用片內(nèi)的M4K塊并設(shè)置一個(gè)從端口生成系統(tǒng)內(nèi)存,調(diào)用邏輯控制單元IP以及Altera提供的CAN通信控制器IP實(shí)現(xiàn)LCM與CAN總線的接口。

            由司機(jī)控制指令生成的虛擬繼電器狀態(tài)表在被傳送至輸出級(jí)的同時(shí),可以被片內(nèi)Nios微處理器查詢。查詢的過程是通過芯片內(nèi)部Avalon總線進(jìn)行的,得到的查詢結(jié)果由CAN總線傳送到顯示屏和檢測(cè)儀。

            5 系統(tǒng)綜合

            在完成IP設(shè)計(jì)的基礎(chǔ)上,利用SOPC Builder進(jìn)行系統(tǒng)綜合。FPGA內(nèi)部用Avalon

          總線將片上處理器和外設(shè)連接成片上系統(tǒng),如圖11所示。

            在設(shè)計(jì)中,包含了由Nios CPU、JTAG以及片上存儲(chǔ)器構(gòu)成的基本片上系統(tǒng)。在此基礎(chǔ)上調(diào)用SS3LCM與CAN接口控制器IP實(shí)現(xiàn)邏輯控制及通信功能。另外增加了一個(gè)串行接口,方便與上位機(jī)連接測(cè)試。綜合后得到的芯片結(jié)構(gòu)如圖12所示。

            至此,已經(jīng)完成系統(tǒng)的硬件設(shè)計(jì)并實(shí)現(xiàn)了硬件邏輯功能。將生成的下載文件通過JTAG下載到片外專用配置ROM中,重新上電后用Nios IDE進(jìn)行系統(tǒng)軟什設(shè)計(jì)。

           

          系統(tǒng)綜合

            結(jié) 語(yǔ)

            目前我國(guó)仍有大量的有觸點(diǎn)控制的電力機(jī)車在干線運(yùn)行,這種控制方式帶來的種種弊端已經(jīng)嚴(yán)重影響了我國(guó)鐵路運(yùn)輸事業(yè)的發(fā)展,尤其是某些重載繁忙的路段(如大秦鐵路)因?yàn)橛杏|點(diǎn)控制無法避免的受粉塵和其他惡劣環(huán)境的影響,已經(jīng)多次發(fā)生故障以致于影響了整條干線的運(yùn)輸,因此機(jī)車控制的無觸點(diǎn)改造的水平關(guān)系著我國(guó)鐵路事業(yè)的發(fā)展。目前國(guó)內(nèi)的無觸點(diǎn)改造已有較為成熟的產(chǎn)品,本設(shè)計(jì)也是在借鑒曾運(yùn)行在SS4G機(jī)車的LCM的成功經(jīng)驗(yàn)基礎(chǔ)上作了進(jìn)一步的改進(jìn),更加注重了可靠性的提高和冗余設(shè)計(jì);同時(shí),將目前世界先進(jìn)的SOPC技術(shù)應(yīng)用其中,大大提高了其集成度和靈活性。邏輯控制模塊的生產(chǎn)將節(jié)約大量的生產(chǎn)成本,而且對(duì)于提高機(jī)車控制性能、保障行車安全、提高機(jī)車運(yùn)行速度和效率都有著十分重要的意義,同時(shí)會(huì)有顯著的經(jīng)濟(jì)效益和社會(huì)效益。



          關(guān)鍵詞:

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();