<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 混合仿真下DDS的改進(jìn)研究與實(shí)現(xiàn)

          混合仿真下DDS的改進(jìn)研究與實(shí)現(xiàn)

          ——
          作者: 時(shí)間:2007-10-16 來(lái)源:現(xiàn)代電子技術(shù) 收藏

            1 引 言

            (Direct Digital Frequency Synthesis,直接數(shù)字頻率合成器)是一種從相位概念出發(fā)直接合成所需波形的頻率合成技術(shù)。由于具有相對(duì)頻帶寬、頻率分辨率高、頻率變化速度快與相位可連續(xù)線性變化等一系列特點(diǎn),已被廣泛應(yīng)用于數(shù)字通信系統(tǒng)中。目前,可供用戶選擇的高性能、多功能的專用芯片比較多。然而在某些對(duì)控制方式、置頻速率等方面有特殊要求的場(chǎng)合,設(shè)計(jì)一個(gè)基于高性能FPGA(Field Programming Gate Array,現(xiàn)場(chǎng)可編程門陣列)的DDS電路就是一個(gè)很好的選擇。

            依據(jù)正弦波對(duì)稱性,把DDS的核心部件——相位累加器改進(jìn)為回旋相位累加器,使得波形存儲(chǔ)ROM空間降為原來(lái)的50 %,頻率分辨率提升1倍。另外,在QuartusⅡ,VC與LabWindows/CVI組成的環(huán)境下,對(duì)該系統(tǒng)進(jìn)行驗(yàn)證。這樣,既避免硬件平臺(tái)的限制,又增加了硬件實(shí)現(xiàn)成功率。

            2 下改進(jìn)的DDS系統(tǒng)的實(shí)現(xiàn)

            由圖1可見,改進(jìn)后的DDS系統(tǒng)由回旋相位累加器、波形存儲(chǔ)器、DAC(Digital to Analog Converter,數(shù)模轉(zhuǎn)換器)、PLL(Phase Locked Loop,鎖相環(huán)路)與LPF(LowPass Filter,低通濾波器)構(gòu)成。

            

            2.1 DDS工作原理

            在連續(xù)的時(shí)鐘作用下,相位累加器以K位頻率控制字為步進(jìn)值做累加運(yùn)算。把累加器的輸出作為波形存儲(chǔ)器的地址數(shù)據(jù),依次讀出相應(yīng)單元的正弦波波形樣點(diǎn)數(shù)據(jù),然后送往DAC進(jìn)行數(shù)模轉(zhuǎn)換后,經(jīng)LPF低通濾波后輸出連續(xù)模擬的正弦波形。

            假設(shè)波形存儲(chǔ)器ROM中存儲(chǔ)了一個(gè)完整正弦波波形的樣點(diǎn)數(shù)據(jù),那么通過(guò)改變K位頻率控制字的大小,就調(diào)整了累加器的步進(jìn)值,亦即改變了輸出的正弦波單周期樣點(diǎn)數(shù),從而實(shí)現(xiàn)了正弦波形的頻率控制。如每次對(duì)K位頻率控制字的累加后,再把N位相位控制字累加進(jìn)去后,便可實(shí)現(xiàn)波形的相位偏移。如每次再對(duì)波形存儲(chǔ)器輸出數(shù)據(jù)乘以P位幅度控制字后便實(shí)現(xiàn)了正弦波形的幅度控制。

            如果正弦波形的采樣深度為D位,那么系統(tǒng)內(nèi)的N位累加器就決定了波形存儲(chǔ)器的存儲(chǔ)空間應(yīng)為2N



          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();