<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 汽車電子 > 專題 > 基于SOPC的工業(yè)大型吊車吊鉤位置測量的設(shè)計

          基于SOPC的工業(yè)大型吊車吊鉤位置測量的設(shè)計

          作者: 時間:2008-01-31 來源:基于SOPC的工業(yè)大型吊車吊鉤位置測量的設(shè)計 收藏

          1. 引言

          本文引用地址:http://www.ex-cimer.com/article/78505.htm

          在大型工業(yè)吊車運行中由于吊車司機位置往往離地面很高(一般為20米到50米),司機很難能準確判斷出吊鉤的準確位置,只能完全依靠地面人員的指揮,這樣效率低下,而且生產(chǎn)安全完全由地面指揮人員負責,發(fā)生事故的概率較高。為了能使吊車司機知道吊鉤的實時準確位置,提高生產(chǎn)率,降低事故的發(fā)生率,本文提出了一種基于(system on a programmable chip 片上系統(tǒng))的高度測量方法。技術(shù)是將整個系統(tǒng)集成到單一半導體芯片上,在單一芯片上集成數(shù)字,信號采集和處理,I/O接口,存儲器,MCU(微處理器)和DSP(數(shù)字信號處理器)等芯片。采用技術(shù)可以減少外圍電路芯片,降低整機成本,提高設(shè)計的可靠性。

          本文設(shè)計采用Atlera公司的:CycloneII 1P2C8[1]作為系統(tǒng)控制的核心實現(xiàn)SOPC。其靈活的現(xiàn)場可更改性,可再配置能力,對系統(tǒng)的各種改進非常方便,在不更改硬件電路的基礎(chǔ)上還可以進一步提高系統(tǒng)的性能。該設(shè)計具有高速、精確、可靠、抗干擾性強和現(xiàn)場可編程等優(yōu)點。

          2.測量原理

             工業(yè)龍門吊車一般由吊鉤、動滑輪組、滾筒組成,電機通過減速機驅(qū)動滾筒,帶動吊鉤在垂直平面上下移動。這樣通過對滾筒的旋轉(zhuǎn)位移測量而轉(zhuǎn)換得到吊鉤的垂直位移。通過在滾筒軸心安裝旋轉(zhuǎn)編碼器可以實現(xiàn)對其位移的測量。

                  (1)

          其中    S  吊鉤對地的垂直距離;

          N 吊鉤發(fā)生S位移內(nèi)旋轉(zhuǎn)編碼器記錄的脈沖數(shù);

          N1 動滑輪組數(shù);

          N2 旋轉(zhuǎn)編碼器的P/R;

          L  吊鉤的上級限到底面的距離;

          D 滾筒直徑。

          根據(jù)公式(1)可知當旋轉(zhuǎn)編碼器已定,吊車的動滑輪組數(shù)已定,滾筒直徑和吊鉤的上級限到底面的距離可以測量得到,吊鉤對地面的垂直距離就只于吊鉤發(fā)生于地面垂直位移內(nèi)旋轉(zhuǎn)編碼器紀錄得脈沖數(shù)有關(guān)。

          3.整體設(shè)計思想

          由公式(1)可知,對于吊鉤的垂直位移測量通過該公式轉(zhuǎn)換成了對安裝在滾筒同軸的增量旋轉(zhuǎn)編碼器輸出脈沖的計數(shù)。再通過對于吊車動滑輪組數(shù)N1、旋轉(zhuǎn)編碼器的P/R N2、吊鉤的上級限到底面的距離L和滾筒直徑D這四個參數(shù)的設(shè)置,經(jīng)過計算得出吊鉤對于地平面的垂直距離。

          4系統(tǒng)結(jié)構(gòu)及功能


                                     圖一

          4.1 編碼器信號輸入及光電隔離模塊

          編碼器信號輸入模塊負責增量式旋轉(zhuǎn)編碼器的信號輸入,光電隔離模塊負責編碼器信號和系統(tǒng)板的電隔離。增量旋轉(zhuǎn)式編碼器選用歐姆龍的E6B2集電極開路輸出。由于滾筒直徑比較大(一般大于1m)并且轉(zhuǎn)動速度比較慢,編碼器輸出頻率比較低(<10k/s),光電耦合器選用TLP系列。此模塊接收編碼器的A,B兩相信號,和吊車上級限信號(上級限信號是無源常開節(jié)點)。當?shù)踯嚨蹉^運行到上級限時,上級限信號閉合,接收信號并且把上級限到底面的距離L裝載入計數(shù)器初值。

          4.2 EMI和電源模塊

          由于該設(shè)計應用于工業(yè)現(xiàn)場,為了解決現(xiàn)場的電源干擾問題,本設(shè)計使用了X電容,Y電容和共模電感對電源進行濾波,通過變壓器和穩(wěn)壓模塊得到+24v,+3.3v,+1.5v分別提供編碼器電源電壓,CycloneII 1P2C8的IO口電源電壓和核心電源電壓。

          4.3       控制模塊

          FPGA采用Altera CycloneII 1P2C8,該器件擁有8256個LE單元,36個 M4K模塊,能滿足本設(shè)計要求。FPGA模塊采用自頂向下的設(shè)計方法[2],首先自頂向下地生成各設(shè)計階層(本設(shè)計為二層結(jié)構(gòu)),將設(shè)計任務分解為不同的功能元件,每個元件具有專門定義的輸入輸出并執(zhí)行專門的邏輯功能。然后,生成一個由各功能元件相互連接形成的頂層模塊。最后設(shè)計其中的各個元件。本設(shè)計頂層模塊用圖形描述,直觀,清晰,可擴展性強,底層元件用VHDL[3]描述。

          FPGA按功能分解為以下六個功能塊:濾波模塊(filter),編碼器相位識別模塊(phasecheck),裝載模塊(MCload),計算模塊(Calplus),雙向計數(shù)模塊(Count16bit),顯示驅(qū)動模塊(LEDControl)。FPGA 頂層圖形描述見圖一。

          系統(tǒng)接收光電碼盤的信號A,B通過濾波模塊濾除尖峰,凹峰等信號干擾,通過編碼盤相位識別模塊識別吊車滾筒正轉(zhuǎn)反轉(zhuǎn)狀態(tài)(即吊車吊鉤上移下移狀態(tài)),并且把信號和正反轉(zhuǎn)狀態(tài)送入雙向計數(shù)器記錄脈沖個數(shù)。裝載模塊把N1、N2、 L和 D四個參數(shù)裝載,并計算得到每個脈沖對應吊鉤的位移距離。計算模塊接收實時脈沖數(shù)、每個脈沖對應的吊鉤位移距離和吊鉤的上級限到底面的距離計算出吊鉤實時相對于地面的距離,再通過顯示模塊解碼并直接驅(qū)動LED顯示吊鉤實時高度。以下是濾波模塊的VHDL描述


                                         圖一 

          library IEEE;

          use IEEE.Std_logic_1164.all;

          use IEEE.std_logic_signed.all;

          use IEEE.std_logic_arith.all;

          entity fitter is port(clk,A:in std_logic; AOUT:out std_logic); end entity filter;

          architecture Crane of filter is

          signal data0,data1,data2,data3,data4,data5:integer range 0 to 1;

          signal dataall:integer range 0 to 7;

          begin 

          process(clk)

          begin

                if clk'event and clk='1' then

                  if A='1' then data0<=1;else data0<=0;end if;

                  data1<=data0;data2<=data1;data3<=data2;data4<=data3;data5<=data4;

                  dataall<=data0+data1+data2+data3+data4+data5;

                  if (dataall>=3) then  AOUT<='1';  else  AOUT<='0'; end if;

               end if;

          end process;

          end architecture Crane;

          5.應用實例

          本設(shè)計成功應用于武鋼二煉鋼56噸龍門吊車中。該吊車上極限離地面距離18m,滾筒直徑1000mm,吊鉤滑輪組數(shù)為5,安裝在滾筒軸心的增量式旋轉(zhuǎn)編碼器為歐姆龍的e6b2-cwz6c漏極輸出式,分辨率為360P/R。 經(jīng)過計算每個脈沖對應吊鉤上下距離為1.74mm,裝載計數(shù)器的初值為10345。

          調(diào)試初期發(fā)現(xiàn)吊鉤顯示位移比理論值要大,但是在實驗室條件下正常,故懷疑編碼器脈沖輸出在現(xiàn)場受到干擾。在現(xiàn)場換了屏蔽線后此現(xiàn)象依然存在,用便攜式示波器觀測發(fā)現(xiàn),編碼器信號在經(jīng)歷一定長度傳輸后信號發(fā)生了畸變,每個脈沖的高電平中間有48us凹峰,經(jīng)過光耦進入FPGA時就引起了誤計數(shù),針對此凹峰我們設(shè)計了開窗濾波器(filter),經(jīng)過實際調(diào)試消除了現(xiàn)場干擾問題,圖二是從現(xiàn)場采集的波形,圖三是加濾波器采集到的波形。


                         圖二                                 

            圖三

          6結(jié)束語

            本文提出了應用FPGA和旋轉(zhuǎn)編碼器的SOPC設(shè)計測量工業(yè)大型吊車吊鉤位置。該設(shè)計具有測量精度高、成本低、運行可靠、維護量少的特點。該設(shè)計成功應用于武鋼二煉鋼,其吊車主要任務是將煉鋼完成后的鋼包從距離地面約九米的操作臺吊到地面冷卻區(qū)域冷卻,由于鋼包體積較大,一般司機憑感覺將吊鉤碰撞一下著鉤處才能定位,由于吊鉤重量很重( 大約1噸)這種碰撞對于承載鋼包的萬向軸危害很大,在應用本設(shè)計后吊車司機能很準確的知道吊鉤的位置而不用靠碰撞來定位,延長了萬向軸的壽命,提高了一次定位成功率,縮短了生產(chǎn)時間,提高了生產(chǎn)效率。

          參考文獻:

          [1] Cyclone II Device Handbook. www.altera.com
          [2] skahiuk.可編程邏輯系統(tǒng)的VHDL設(shè)計技術(shù)。南京:東南大學出版社,1998
          [3] 林敏,方穎立。VHDL數(shù)字系統(tǒng)設(shè)計與高層次綜合「M].北京:電子工業(yè)出版社,2002。

           



          關(guān)鍵詞: SOPC FPGA 位置測量

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();