<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 測(cè)試測(cè)量 > 設(shè)計(jì)應(yīng)用 > 利用虛擬儀器進(jìn)行數(shù)字信號(hào)處理設(shè)計(jì)(08-100)

          利用虛擬儀器進(jìn)行數(shù)字信號(hào)處理設(shè)計(jì)(08-100)

          ——
          作者:Kristi Hummel NI控制與DSP部門高級(jí)應(yīng)用工程師,Sam Shearman NI信號(hào)處理與分析部門高級(jí)產(chǎn)品經(jīng)理,倪斌 NI 中國(guó)技術(shù)市場(chǎng)工程師 時(shí)間:2009-02-27 來(lái)源:電子產(chǎn)品世界 收藏

           

          本文引用地址:http://www.ex-cimer.com/article/91858.htm

            圖4 根據(jù)在濾波器分析報(bào)告中提供的信息,增加了加法、乘法和延遲的整數(shù)字長(zhǎng),現(xiàn)在定點(diǎn)設(shè)計(jì)和浮點(diǎn)設(shè)計(jì)的仿真結(jié)果能夠匹配

            設(shè)計(jì)驗(yàn)證與發(fā)布

            通過(guò)與測(cè)試測(cè)量應(yīng)用中所需的硬件自然整合在一起,改進(jìn)了工程設(shè)計(jì)。在上述數(shù)字濾波器設(shè)計(jì)中, PCI數(shù)據(jù)采集設(shè)備能夠獲得實(shí)際信號(hào),將它與仿真信號(hào)進(jìn)行比較,就更加能確保濾波器設(shè)計(jì)的正確性。由于LabVIEW與以及眾多第三方廠家的數(shù)據(jù)采集硬件緊密整合,這點(diǎn)在工程設(shè)計(jì)中又是一個(gè)重要的優(yōu)點(diǎn)。

            許多測(cè)試與測(cè)量應(yīng)用需要具有能夠在包括實(shí)時(shí)系統(tǒng)與嵌入式系統(tǒng)在內(nèi)的多平臺(tái)之間的可移植性。這點(diǎn)又是與工程設(shè)計(jì)相關(guān)的,其中VI需要可以被用于各種通用操作系統(tǒng),包括Windows、Mac、Linux,以及支持LabVIEW的FPGA、DSP和任何32位微處理器等嵌入式系統(tǒng)。數(shù)字濾波器設(shè)計(jì)工具包是LabVIEW的一個(gè)附件,它提供了定點(diǎn)建模工具和LabVIEW FPGA以及ANSI-C代碼生成。DSP工程師現(xiàn)在可以利用LabVIEW的強(qiáng)大功能進(jìn)行完整的數(shù)字濾波器開發(fā),從設(shè)計(jì)到驗(yàn)證直至嵌入式系統(tǒng)發(fā)布。

            數(shù)字濾波器設(shè)計(jì)工具包為學(xué)術(shù)應(yīng)用和工業(yè)應(yīng)用都提供了發(fā)布方案。一種是將濾波器參數(shù)保存到文件中,這也是最簡(jiǎn)單的發(fā)布方式,它將所有實(shí)現(xiàn)細(xì)節(jié)保存到文件中,供以后使用。使用LabVIEW DSP模塊,可以讀取過(guò)去保存在文件中的濾波器參數(shù),并在DSP上實(shí)時(shí)實(shí)現(xiàn)。LabVIEW DSP將圖形化編程帶入到多個(gè)硬件平臺(tái)上,能夠廣泛地應(yīng)用于學(xué)術(shù)中,其中包括了 SPEEDY-33和Texas Instruments C6711、C6713、C6416 DSK,用于對(duì)DSP進(jìn)行設(shè)計(jì)、快速原型開發(fā)和發(fā)布。它很好地支持了快速VI(Express VIs),可以加速開發(fā)速度,能夠?qū)Π蹇ㄉ系哪M及數(shù)字IO進(jìn)行直接存取,并支持圖形編程環(huán)境的調(diào)試。

            另一種方案是FPGA發(fā)布,數(shù)字濾波器設(shè)計(jì)工具包能根據(jù)定點(diǎn)數(shù)字濾波器設(shè)計(jì)生成LabVIEW FPGA代碼,并在LabVIEW FPGA模塊中使用生成的代碼,它利用Xilinx編譯器直接同步位于可重配置I/O(RIO)硬件上的FPGA。具有RIO技術(shù)的設(shè)備有著多種外形規(guī)格,包括PCI、PXI以及CompactRIO可編程自動(dòng)化控制器。LabVIEW FPGA提供了在數(shù)字濾波器應(yīng)用中 對(duì)數(shù)字I/O線路和模擬I/O線路的直接訪問。

            ANSI-C代碼生成是另一種發(fā)布數(shù)字濾波器設(shè)計(jì)的選擇。LabVIEW嵌入式開發(fā)模塊利用第三方嵌入式工具鏈和操作系統(tǒng),能對(duì)LabVIEW生成的C代碼進(jìn)行編譯、連接,并下載到任何32位微處理器目標(biāo)中。使用LabVIEW嵌入式模塊,您可以在前面板和程序框圖中交互式地調(diào)試程序,訪問板卡的外圍I/O,使用任何用于嵌入式應(yīng)用的1000個(gè)內(nèi)置數(shù)字處理和VI。

           

            圖5 LabVIEW DSP、LabVIEW FPGA和LabVIEW嵌入式模塊將圖形化編程的強(qiáng)大功能引入到無(wú)限的硬件平臺(tái)中

            是用于測(cè)試和測(cè)量系統(tǒng)設(shè)計(jì)與實(shí)現(xiàn)的可行方法。思想所采用的獨(dú)特方法可以應(yīng)用到工程設(shè)計(jì)應(yīng)用和開發(fā)中,并且實(shí)現(xiàn)在理論設(shè)計(jì)、分析測(cè)試與發(fā)布實(shí)現(xiàn)中使用同一工具。


          上一頁(yè) 1 2 3 4 下一頁(yè)

          關(guān)鍵詞: NI 虛擬儀器 信號(hào)處理

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();