<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> matlab

          單相PWM整流器能量雙向傳輸?shù)膶?shí)現(xiàn)技術(shù)

          • 摘要:本文論證了高功率因數(shù)電能轉(zhuǎn)換和負(fù)載電能回饋電網(wǎng)的實(shí)現(xiàn)是電力節(jié)能的關(guān)鍵問(wèn)題。在對(duì)電壓型單相PWM整流器的拓?fù)浣Y(jié)構(gòu)以及其工作原理分析的基礎(chǔ)上,提出了相應(yīng)的控制方法,并分別對(duì)主電路參數(shù)和PI調(diào)節(jié)器參數(shù)進(jìn)行了選
          • 關(guān)鍵字: Matlab  計(jì)算機(jī)  仿真  

          基于MATLAB/SIMULINK的心電信號(hào)源系統(tǒng)設(shè)計(jì)

          • 基于MATLAB/SIMULINK的心電信號(hào)源系統(tǒng)設(shè)計(jì),心電信號(hào)主要頻率范圍為0.05100Hz,幅度約為04mV,信號(hào)十分微弱。由于心電信號(hào)中通?;祀s有其它生物信號(hào),加之體外以500Hz工頻為主的電磁場(chǎng)干擾,使得心電噪聲背景較強(qiáng),測(cè)量條件比較復(fù)雜,為醫(yī)學(xué)研究、救治和教學(xué)
          • 關(guān)鍵字: SIMULINK  MATLAB  心電信號(hào)  系統(tǒng)設(shè)計(jì)    

          基于Matlab的FIR數(shù)字濾波器的優(yōu)化設(shè)計(jì)

          • 摘要 通過(guò)實(shí)例介紹了基于Matlab的FIR濾波器的優(yōu)化設(shè)計(jì)方法。3種結(jié)果比較發(fā)現(xiàn),在同樣階數(shù)下,優(yōu)化設(shè)計(jì)可以獲得最佳的頻率特性和衰耗特性。
            關(guān)鍵詞 數(shù)字濾波器;Matlab;FIR;IIR;優(yōu)化設(shè)計(jì)

            數(shù)字濾波在數(shù)字信
          • 關(guān)鍵字: Matlab  FIR  數(shù)字濾波器  優(yōu)化設(shè)計(jì)    

          基于MATLAB在FPGA 算法上浮點(diǎn)定點(diǎn)轉(zhuǎn)換的實(shí)現(xiàn)

          • 基于MATLAB在FPGA 算法上浮點(diǎn)定點(diǎn)轉(zhuǎn)換的實(shí)現(xiàn),浮點(diǎn)定點(diǎn)轉(zhuǎn)換是在 FPGA 上實(shí)現(xiàn)算法時(shí)最困難的地方(圖 1)。雖然 MATLAB 是一種強(qiáng)大的運(yùn)算開(kāi)發(fā)工具,但其許多優(yōu)點(diǎn)卻在浮點(diǎn)定點(diǎn)轉(zhuǎn)換過(guò)程中被降低了。例如,由于定點(diǎn)算術(shù)中精度較低,新的數(shù)學(xué)誤差被引入算法。您必須重
          • 關(guān)鍵字: 定點(diǎn)  轉(zhuǎn)換  實(shí)現(xiàn)  浮點(diǎn)  算法  MATLAB  FPGA  基于  

          FPGA的TCP/IP通信協(xié)議與Matlab通信系統(tǒng)的研究

          •  O 引言  近年來(lái),隨著信息技術(shù)的發(fā)展,網(wǎng)絡(luò)化日加普遍,以太網(wǎng)被廣泛應(yīng)用到各個(gè)領(lǐng)域。例如在數(shù)據(jù)采集領(lǐng)域,一些小型監(jiān)測(cè)設(shè)備需要增加網(wǎng)絡(luò)實(shí)現(xiàn)遠(yuǎn)程數(shù)據(jù)傳輸?shù)墓δ?,只要那些設(shè)備上增加一個(gè)網(wǎng)絡(luò)接口并實(shí)現(xiàn)了TCP/I
          • 關(guān)鍵字: 通信  系統(tǒng)  研究  Matlab  協(xié)議  IP  FPGA  TCP  

          全國(guó)大學(xué)生數(shù)學(xué)建模競(jìng)賽再度頒發(fā)MATLAB創(chuàng)新獎(jiǎng)

          •   近日,第19屆全國(guó)大學(xué)生數(shù)學(xué)建模競(jìng)賽于廣州華南農(nóng)業(yè)大學(xué)理學(xué)院舉行頒獎(jiǎng)儀式,對(duì)本次競(jìng)賽的優(yōu)秀組織及參賽隊(duì)伍進(jìn)行了表彰和鼓勵(lì)。大會(huì)同時(shí)宣布,通過(guò)對(duì)全國(guó)一等獎(jiǎng)獲獎(jiǎng)名單的甄選,組委會(huì)向本科組的大連海事大學(xué),參賽隊(duì)員為毋巖斌、趙寶強(qiáng)、王嘉寧,以及??平M的深圳職業(yè)技術(shù)學(xué)院,參賽同學(xué)為黃盈、張林善、盧忠釧頒發(fā)了MATLAB創(chuàng)新獎(jiǎng),以表彰這兩組隊(duì)伍在比賽過(guò)程中的創(chuàng)新表現(xiàn)。MATLAB創(chuàng)新獎(jiǎng)作為該競(jìng)賽最高的獎(jiǎng)項(xiàng)之一,繼去年首次為建模競(jìng)賽設(shè)置該獎(jiǎng)項(xiàng)后,今年再次為鼓勵(lì)大學(xué)生在建模競(jìng)賽中的創(chuàng)新思維頒發(fā)該獎(jiǎng)項(xiàng)。   
          • 關(guān)鍵字: MATLAB  數(shù)學(xué)建模  大學(xué)  

          基于諧波檢測(cè)中的數(shù)字低通濾波器的MATLAB設(shè)計(jì)

          •  由于電力系統(tǒng)中非線性電子元件的大量使用,使得諧波污染問(wèn)題日益嚴(yán)重,當(dāng)諧波含量超過(guò)一定限度時(shí)就可能對(duì)電網(wǎng)和用戶造成極大的危害,且增加線路損耗,降低線路傳輸能力,干擾通信信號(hào)等。因此,應(yīng)該積極尋找一種治
          • 關(guān)鍵字: MATLAB  諧波檢測(cè)  數(shù)字  低通濾波器    

          基于Matlab的雷達(dá)系統(tǒng)仿真軟件包設(shè)計(jì)與實(shí)現(xiàn)

          • 針對(duì)雷達(dá)系統(tǒng)抽象復(fù)雜的特征,利用Matlab的圖形用戶界面開(kāi)發(fā)設(shè)計(jì)出一套包含密碼登陸界面、雷達(dá)系統(tǒng)主界面和各子界面的軟件包,以方便教師教學(xué)和學(xué)生自學(xué)。在實(shí)現(xiàn)過(guò)程中引入Matlab,VC混合編程方法,以充分利用兩種語(yǔ)言的各自優(yōu)勢(shì)。在VC環(huán)境下編寫(xiě)mexrun函數(shù)并通過(guò)混合編程生成MEX文件,編譯后產(chǎn)生的動(dòng)態(tài)鏈接庫(kù)文件可以供Matlab調(diào)用。在Matlab平臺(tái)的實(shí)驗(yàn)界面設(shè)計(jì)中提高了軟件運(yùn)行效率,精簡(jiǎn)了程序代碼。運(yùn)行結(jié)果表明,該軟件包具有友好的用戶界面、詳細(xì)的雷達(dá)系統(tǒng)分析實(shí)例和強(qiáng)大的人機(jī)交互功能。
          • 關(guān)鍵字: Matlab  雷達(dá)  系統(tǒng)仿真  軟件包    

          MathWorks 為 MATLAB 提供 GPU 支持

          •   近日在 GPU 技術(shù)大會(huì) (GTC) 上,MathWorks 宣布通過(guò)使用 Parallel Computing Toolbox 或 MATLAB Distributed Computing Server 實(shí)現(xiàn)在MATLAB 應(yīng)用中提供對(duì) NVIDIA 圖形處理器 (GPU) 的支持。這項(xiàng)支持可使工程師和科學(xué)家加快多種 MATLAB 計(jì)算的速度,而無(wú)需執(zhí)行底層編程。   現(xiàn)在,越來(lái)越多的工程師和科學(xué)家可以借助MATLAB使用 NVIDIA的 具有支持 CUDA 的 GPU,其中包括基于 Fermi
          • 關(guān)鍵字: MATLAB  GPU  

          基于Matlab的IIR數(shù)字濾波器設(shè)計(jì)方法比較及應(yīng)用

          • 濾波是信號(hào)處理的基礎(chǔ),濾波運(yùn)算是信號(hào)處理中的基本運(yùn)算,濾波器的設(shè)計(jì)也就相應(yīng)成為數(shù)字信號(hào)處理的最基本問(wèn)題之一。這里著重IIR數(shù)字濾波器的設(shè)計(jì)研究,應(yīng)用Butterworth濾波器,Chebysheve I型濾波器,ChebysheveⅡ型濾波器以及橢圓濾波器分別對(duì)低通、高通、帶通和帶阻四種濾波器形式進(jìn)行比較仿真,通過(guò)不同設(shè)計(jì)方法的對(duì)比,將各種濾波器的設(shè)計(jì)特點(diǎn)很好地呈現(xiàn)出來(lái)。應(yīng)用了Butterworth濾波器實(shí)現(xiàn)了混合信號(hào)頻譜的分離,取得了良好的仿真效果。
          • 關(guān)鍵字: Matlab  IIR  數(shù)字濾波器  設(shè)計(jì)方法    

          采用Matlab/Simulink對(duì)三相橋式全控整流電路的仿真分析

          • 本文利用Simulink對(duì)三相橋式全控整流電路進(jìn)行建模,對(duì)不同控制角、橋故障情況下進(jìn)行了仿真分析,既進(jìn)一步加深了三相橋式全控整流電路的理論,同時(shí)也為現(xiàn)代電力電子實(shí)驗(yàn)教學(xué)奠定良好的實(shí)驗(yàn)基礎(chǔ)。   1 電路的構(gòu)成及工
          • 關(guān)鍵字: 電路  仿真  分析  整流  全控  Matlab/Simulink  三相  采用  

          基于Matlab GUI的模擬帶通濾波器的設(shè)計(jì)

          • 0 引 言模擬帶通濾波器在信號(hào)的檢測(cè)和傳輸過(guò)程中起著很重要的作用,但在傳統(tǒng)模擬帶通濾波器的設(shè)計(jì)過(guò)程中需要大量繁瑣的數(shù)值計(jì)算,如果手工計(jì)算則費(fèi)時(shí)費(fèi)力。也有許多公司開(kāi)發(fā)了相關(guān)的濾波器設(shè)計(jì)軟件,如AnsoftDesig
          • 關(guān)鍵字: Matlab  GUI  模擬  帶通濾波器    

          基于Matlab GUI的串口通信編程實(shí)現(xiàn)

          • Matlab不僅具有強(qiáng)大的科學(xué)計(jì)算功能,還內(nèi)置了GUI工具用于進(jìn)行界面開(kāi)發(fā)。利用Matlab的GUI工具和內(nèi)置的串口通信API,設(shè)計(jì)開(kāi)發(fā)一個(gè)可視化的串口通信例程,實(shí)現(xiàn)IMU原始數(shù)據(jù)的接收采集,并利用Matlab強(qiáng)大的科學(xué)計(jì)算功能,對(duì)數(shù)據(jù)進(jìn)行實(shí)時(shí)處理,圖形化顯示數(shù)據(jù)處理結(jié)果。最后介紹了編譯發(fā)布Matlab程序的步驟與注意事項(xiàng)。
          • 關(guān)鍵字: 編程  實(shí)現(xiàn)  通信  串口  Matlab  GUI  基于  收發(fā)器  

          VB調(diào)用Matlab在WEDM仿真系統(tǒng)中的應(yīng)用

          • VB調(diào)用Matlab在WEDM仿真系統(tǒng)中的應(yīng)用,VB與Matlab混合編程簡(jiǎn)單方便,效率高,能夠應(yīng)用于WEDM仿真系統(tǒng)中,以實(shí)現(xiàn)系統(tǒng)功能。缺點(diǎn)是要依托于Matlab平臺(tái),執(zhí)行速度慢,靈活性較差。
          • 關(guān)鍵字: 系統(tǒng)  應(yīng)用  仿真  WEDM  調(diào)用  Matlab  VB  
          共349條 16/24 |‹ « 14 15 16 17 18 19 20 21 22 23 » ›|
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();